VHDL语言的数码管显示程序

上传者: shanjejinan | 上传时间: 2022-04-11 21:01:10 | 文件大小: 3KB | 文件类型: TXT
用四个七段式数码管显示数据,实现动态显示,改变分频系数,可以实现不同的显示效果。

文件下载

评论信息

  • dxj3968415 :
    还不错,挺容易懂的。
    2014-06-04
  • zhmaghy :
    一个实用的参考例子,可以参考参考!
    2014-03-22
  • yiweiguo :
    挺好用的 谢谢
    2013-11-19
  • wzlhdy :
    还不错,挺容易懂的。不过实现功能较简单。不能扫描同时显示。
    2013-08-25
  • a313526 :
    很好,挺容易理解的
    2012-11-21

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明