视频中工程代码 class2_MUX2

上传者: s13166803785 | 上传时间: 2022-06-01 09:12:26 | 文件大小: 159KB | 文件类型: RAR
视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代码 class2_MUX2视频中工程代

文件下载

资源详情

[{"title":"( 84 个子文件 159KB ) 视频中工程代码 class2_MUX2","children":[{"title":"class2_MUX2","children":[{"title":"img","children":[{"title":"路径报错.bmp <span style='color:#111;'> 368.49KB </span>","children":null,"spread":false},{"title":"testbench模型.bmp <span style='color:#111;'> 3.52MB </span>","children":null,"spread":false}],"spread":true},{"title":"文件夹模版.txt <span style='color:#111;'> 205B </span>","children":null,"spread":false},{"title":"rtl","children":[{"title":"led_test.v <span style='color:#111;'> 303B </span>","children":null,"spread":false}],"spread":true},{"title":"testbench","children":[{"title":"led_test_tb.v <span style='color:#111;'> 872B </span>","children":null,"spread":false}],"spread":true},{"title":"doc","children":[{"title":"开发流程介绍.docx <span style='color:#111;'> 12.98KB </span>","children":null,"spread":false}],"spread":true},{"title":"prj","children":[{"title":"led_test.qws <span style='color:#111;'> 613B </span>","children":null,"spread":false},{"title":"led_test_nativelink_simulation.rpt <span style='color:#111;'> 858B </span>","children":null,"spread":false},{"title":"db","children":[{"title":"led_test.hier_info <span style='color:#111;'> 131B </span>","children":null,"spread":false},{"title":"led_test.map.kpt <span style='color:#111;'> 206B </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.reg_db.cdb <span style='color:#111;'> 199B </span>","children":null,"spread":false},{"title":"led_test.map_bb.cdb <span style='color:#111;'> 1.68KB </span>","children":null,"spread":false},{"title":"led_test.tmw_info <span style='color:#111;'> 67B </span>","children":null,"spread":false},{"title":"led_test.hif <span style='color:#111;'> 452B </span>","children":null,"spread":false},{"title":"led_test.pti_db_list.ddb <span style='color:#111;'> 177B </span>","children":null,"spread":false},{"title":"led_test.sld_design_entry_dsc.sci <span style='color:#111;'> 202B </span>","children":null,"spread":false},{"title":"led_test.map.ammdb <span style='color:#111;'> 123B </span>","children":null,"spread":false},{"title":"logic_util_heursitic.dat <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"led_test.map_bb.hdb <span style='color:#111;'> 9.01KB </span>","children":null,"spread":false},{"title":"led_test.sld_design_entry.sci <span style='color:#111;'> 202B </span>","children":null,"spread":false},{"title":"led_test.lpc.txt <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"led_test.cbx.xml <span style='color:#111;'> 90B </span>","children":null,"spread":false},{"title":"led_test.smart_action.txt <span style='color:#111;'> 5B </span>","children":null,"spread":false},{"title":"led_test.cmp.rdb <span style='color:#111;'> 5.47KB </span>","children":null,"spread":false},{"title":"led_test.lpc.rdb <span style='color:#111;'> 399B </span>","children":null,"spread":false},{"title":"led_test.rtlv_sg_swap.cdb <span style='color:#111;'> 181B </span>","children":null,"spread":false},{"title":"led_test.sgdiff.cdb <span style='color:#111;'> 1.79KB </span>","children":null,"spread":false},{"title":"led_test.map.rdb <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":"led_test.map.hdb <span style='color:#111;'> 9.79KB </span>","children":null,"spread":false},{"title":"led_test.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"led_test.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"led_test.map_bb.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"led_test.tis_db_list.ddb <span style='color:#111;'> 177B </span>","children":null,"spread":false},{"title":"led_test.(0).cnf.cdb <span style='color:#111;'> 716B </span>","children":null,"spread":false},{"title":"led_test.map.qmsg <span style='color:#111;'> 5.54KB </span>","children":null,"spread":false},{"title":"led_test.sgdiff.hdb <span style='color:#111;'> 9.99KB </span>","children":null,"spread":false},{"title":"led_test.pre_map.hdb <span style='color:#111;'> 9.90KB </span>","children":null,"spread":false},{"title":"led_test.map.bpm <span style='color:#111;'> 502B </span>","children":null,"spread":false},{"title":"led_test.cmp_merge.kpt <span style='color:#111;'> 209B </span>","children":null,"spread":false},{"title":"led_test.map.cdb <span style='color:#111;'> 2.07KB </span>","children":null,"spread":false},{"title":"led_test.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"led_test.ipinfo <span style='color:#111;'> 163B </span>","children":null,"spread":false},{"title":"led_test.lpc.html <span style='color:#111;'> 372B </span>","children":null,"spread":false},{"title":"led_test.(0).cnf.hdb <span style='color:#111;'> 600B </span>","children":null,"spread":false},{"title":"led_test.rtlv_sg.cdb <span style='color:#111;'> 744B </span>","children":null,"spread":false},{"title":"led_test.rtlv.hdb <span style='color:#111;'> 9.86KB </span>","children":null,"spread":false}],"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"led_test.root_partition.map.hbdb.hb_info <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.hbdb.hdb <span style='color:#111;'> 9.46KB </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.dpi <span style='color:#111;'> 669B </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.cdb <span style='color:#111;'> 1.84KB </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.hbdb.sig <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"led_test.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.kpt <span style='color:#111;'> 209B </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.hdb <span style='color:#111;'> 9.63KB </span>","children":null,"spread":false},{"title":"led_test.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false}],"spread":true},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"led_test.qsf <span style='color:#111;'> 3.98KB </span>","children":null,"spread":false},{"title":"led_test.qpf <span style='color:#111;'> 1.24KB </span>","children":null,"spread":false},{"title":"simulation","children":[{"title":"modelsim","children":[{"title":"msim_transcript <span style='color:#111;'> 2.24KB </span>","children":null,"spread":false},{"title":"led_test_run_msim_rtl_verilog.do <span style='color:#111;'> 647B </span>","children":null,"spread":false},{"title":"rtl_work","children":[{"title":"led_test","children":[{"title":"_primary.dbs <span style='color:#111;'> 470B </span>","children":null,"spread":false},{"title":"verilog.psm <span style='color:#111;'> 2.96KB </span>","children":null,"spread":false},{"title":"verilog.prw <span style='color:#111;'> 189B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 264B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 270B </span>","children":null,"spread":false}],"spread":false},{"title":"led_test_tb","children":[{"title":"_primary.dbs <span style='color:#111;'> 1.17KB </span>","children":null,"spread":false},{"title":"verilog.psm <span style='color:#111;'> 7.81KB </span>","children":null,"spread":false},{"title":"verilog.prw <span style='color:#111;'> 603B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 82B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 845B </span>","children":null,"spread":false}],"spread":false},{"title":"_info <span style='color:#111;'> 1.48KB </span>","children":null,"spread":false},{"title":"_temp","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 26B </span>","children":null,"spread":false}],"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 72.00KB </span>","children":null,"spread":false},{"title":"modelsim.ini <span style='color:#111;'> 10.87KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"output_files","children":[{"title":"led_test.fit.summary <span style='color:#111;'> 609B </span>","children":null,"spread":false},{"title":"led_test.flow.rpt <span style='color:#111;'> 8.16KB </span>","children":null,"spread":false},{"title":"led_test.map.rpt <span style='color:#111;'> 20.75KB </span>","children":null,"spread":false},{"title":"led_test.jdi <span style='color:#111;'> 227B </span>","children":null,"spread":false},{"title":"led_test.map.summary <span style='color:#111;'> 465B </span>","children":null,"spread":false},{"title":"led_test.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"led_test.fit.smsg <span style='color:#111;'> 703B </span>","children":null,"spread":false},{"title":"led_test.pin <span style='color:#111;'> 32.30KB </span>","children":null,"spread":false},{"title":"led_test.sof <span style='color:#111;'> 350.24KB </span>","children":null,"spread":false},{"title":"led_test.sta.summary <span style='color:#111;'> 223B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明