Verilog 单周期CPU代码 及汇编代码 9条指令

上传者: 42613078 | 上传时间: 2019-12-21 20:56:35 | 文件大小: 1.21MB | 文件类型: rar
Verilog 单周期CPU代码 及汇编代码 完美运行各种代码

文件下载

资源详情

[{"title":"( 57 个子文件 1.21MB ) Verilog 单周期CPU代码 及汇编代码 9条指令","children":[{"title":"single cpu","children":[{"title":"、单周期实验说明.docx <span style='color:#111;'> 721.43KB </span>","children":null,"spread":false},{"title":"singlecpu","children":[{"title":"regfile.v <span style='color:#111;'> 576B </span>","children":null,"spread":false},{"title":"ALU.v <span style='color:#111;'> 509B </span>","children":null,"spread":false},{"title":"npc.v <span style='color:#111;'> 503B </span>","children":null,"spread":false},{"title":"im_4k.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"ALU.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"test_cpu.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"work.cr.mti <span style='color:#111;'> 6.20KB </span>","children":null,"spread":false},{"title":"test_pc.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 1.03MB </span>","children":null,"spread":false},{"title":"test_pc.v <span style='color:#111;'> 208B </span>","children":null,"spread":false},{"title":"test_npc.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"ctrl.v <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"test_signex.v <span style='color:#111;'> 170B </span>","children":null,"spread":false},{"title":"datapath.v.bak <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"test_ctrl.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"control.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"npc.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"trancode.v <span style='color:#111;'> 401B </span>","children":null,"spread":false},{"title":"test_dm4k.v <span style='color:#111;'> 283B </span>","children":null,"spread":false},{"title":"mux.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"mips.v.bak <span style='color:#111;'> 711B </span>","children":null,"spread":false},{"title":"im_4k.v <span style='color:#111;'> 214B </span>","children":null,"spread":false},{"title":"test_dm4k.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"work","children":[{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib1_7.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib1_7.qpg <span style='color:#111;'> 104.00KB </span>","children":null,"spread":false},{"title":"_lib1_7.qtl <span style='color:#111;'> 275.96KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.50KB </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false}],"spread":false},{"title":"work.mpf <span style='color:#111;'> 29.42KB </span>","children":null,"spread":false},{"title":"transcript <span style='color:#111;'> 2.48KB </span>","children":null,"spread":false},{"title":"dm_4k.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"mips.v <span style='color:#111;'> 666B </span>","children":null,"spread":false},{"title":"test_signex.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"test_im4k.v <span style='color:#111;'> 301B </span>","children":null,"spread":false},{"title":"ctrl.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"mux.v <span style='color:#111;'> 153B </span>","children":null,"spread":false},{"title":"regfile.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"test_npc.v <span style='color:#111;'> 362B </span>","children":null,"spread":false},{"title":"test_im4k.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"signext.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"datapath.v <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"control.v <span style='color:#111;'> 547B </span>","children":null,"spread":false},{"title":"test_mux.v <span style='color:#111;'> 212B </span>","children":null,"spread":false},{"title":"test_ctrl.v <span style='color:#111;'> 374B </span>","children":null,"spread":false},{"title":"signext.v <span style='color:#111;'> 286B </span>","children":null,"spread":false},{"title":"test_alu.v <span style='color:#111;'> 288B </span>","children":null,"spread":false},{"title":"dm_4k.v <span style='color:#111;'> 239B </span>","children":null,"spread":false},{"title":"pc.v <span style='color:#111;'> 299B </span>","children":null,"spread":false},{"title":"test_cpu.v <span style='color:#111;'> 174B </span>","children":null,"spread":false},{"title":"trancode.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"code.txt <span style='color:#111;'> 400B </span>","children":null,"spread":false},{"title":"test_mux.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"pc.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"test_alu.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false}],"spread":false},{"title":"测试用的汇编代码+机器码+说明.docx <span style='color:#111;'> 15.19KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明