uvm验证寄存器模型生成工具

上传者: 38620826 | 上传时间: 2021-04-29 01:30:06 | 文件大小: 153KB | 文件类型: ZIP
uvm
寄存器模型生成工具,可将excel表格直接生成uvm验证使用的寄存器模型

文件下载

资源详情

[{"title":"( 23 个子文件 153KB ) uvm验证寄存器模型生成工具","children":[{"title":"yuu_register_productor-master","children":[{"title":"register_node.py <span style='color:#111;'> 1.19KB </span>","children":null,"spread":false},{"title":"html","children":[{"title":"font","children":[{"title":"S6u9w4BMUTPHh6UVSwaPGR_p.woff2 <span style='color:#111;'> 5.23KB </span>","children":null,"spread":false},{"title":"S6u9w4BMUTPHh50XSwaPGR_p.woff2 <span style='color:#111;'> 5.31KB </span>","children":null,"spread":false},{"title":"S6u9w4BMUTPHh6UVSwiPGQ.woff2 <span style='color:#111;'> 22.45KB </span>","children":null,"spread":false},{"title":"S6uyw4BMUTPHjx4wXg.woff2 <span style='color:#111;'> 22.93KB </span>","children":null,"spread":false},{"title":"S6uyw4BMUTPHjxAwXjeu.woff2 <span style='color:#111;'> 5.35KB </span>","children":null,"spread":false},{"title":"S6u9w4BMUTPHh50XSwiPGQ.woff2 <span style='color:#111;'> 22.04KB </span>","children":null,"spread":false}],"spread":true},{"title":"js","children":[{"title":"main.js <span style='color:#111;'> 431B </span>","children":null,"spread":false},{"title":"jquery.min.js <span style='color:#111;'> 84.90KB </span>","children":null,"spread":false},{"title":"modernizr.js <span style='color:#111;'> 50.16KB </span>","children":null,"spread":false}],"spread":true},{"title":"css","children":[{"title":"reset.css <span style='color:#111;'> 1.07KB </span>","children":null,"spread":false},{"title":"style.css <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"font.css <span style='color:#111;'> 2.04KB </span>","children":null,"spread":false}],"spread":true},{"title":"img","children":[{"title":"option.svg <span style='color:#111;'> 422B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"LICENSE <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"README.md <span style='color:#111;'> 1.47KB </span>","children":null,"spread":false},{"title":"xls_parser.py <span style='color:#111;'> 11.53KB </span>","children":null,"spread":false},{"title":"yrp.py <span style='color:#111;'> 3.15KB </span>","children":null,"spread":false},{"title":"template","children":[{"title":"html.j2 <span style='color:#111;'> 3.40KB </span>","children":null,"spread":false},{"title":"uvm_reg_model.j2 <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false},{"title":"uvm_reg.svh <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"uvm_reg_block.svh <span style='color:#111;'> 1.63KB </span>","children":null,"spread":false}],"spread":true},{"title":"test","children":[{"title":"reg.xlsx <span style='color:#111;'> 11.48KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明