ODrive_无刷电机_高性能电机控制_Verilog_代码_下载

上传者: 38334677 | 上传时间: 2022-07-04 18:03:45 | 文件大小: 19.88MB | 文件类型: ZIP
该项目旨在以低廉的价格准确地驱动无刷电机。目的是使在高性能机器人项目中使用廉价的无刷电机成为可能。像这样(点击视频): 伺服电机控制演示 如果您想动手制作电路板,请查看此帖子。 此存储库包含 ODrive 的电路板设计。其他相关的存储库是: ODriveFirmware:在板上运行的固件。 ODrive:在 PC 上运行的配置和分析脚本。 还有ODriveFPGA,它包含在基于 FPGA 的 ODrive 上运行的 FPGA 逻辑和软件。这目前尚未开发,但可能会在以后恢复。 Odrive v3 板 此处记录了从微控制器到电路板的引脚排列。 更多详情、使用方法,请下载后阅读README.md文件

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明