verilog 十进制计数器

上传者: lifengchenchenlifeng | 上传时间: 2019-12-21 20:08:11 | 文件大小: 940B | 文件类型: zip
提供verilog设计十进制计数器源代码及测试代码。

文件下载

资源详情

[{"title":"( 2 个子文件 940B ) verilog 十进制计数器","children":[{"title":"10进制计数器","children":[{"title":"10进制计数器测试模块.txt <span style='color:#111;'> 240B </span>","children":null,"spread":false},{"title":"10进制计数器.txt <span style='color:#111;'> 735B </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

  • hu_yt12 :
    简单明了,好用的资源
    2016-05-19
  • K小卷子 :
    没有异步复位的功能,但是风格简单清晰,方便初学者阅读。
    2015-01-09
  • 猎狐梦痕 :
    不是想要的,不过还可以
    2014-07-10
  • ff007bit :
    代码很好,谢谢~
    2014-05-23
  • 星梦fas :
    简明扼要,易懂易学
    2014-04-08

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明