UVM初级开发指南+示例代码.zip

上传者: drjiachen | 上传时间: 2021-08-31 22:02:14 | 文件大小: 10.14MB | 文件类型: ZIP
本文完成于13年10月,是自己在做验证过程中的一个总结文档。当时写这个文档的目的是给后面做UVM验证的师弟师妹留个教程。后面经过导师同意将该文档分享出来,让更多学习UVM验证的同志受益。 文档中以张强的《UVM1.1应用指南及源代码分析》中的第一章的示例作为参考,简单讲述了UVM1.1d在QuestaSim中的应用步骤,并讲述了C语言和SV语言的联合仿真过程 ,对初学UVM验证的同学提供实际操作方面的帮助。 因为自己的毕业设计需要用到文档中的部分内容,所以直到现在才将其分享出来,希望更多的人能够从中受益。 本文附件如下: UVM初级开发指南.pdf (1.2 MB, 下载次数: 2463 ) UVM初级开发指南-示例代码.rar (9.15 MB, 下载次数: 4889 )

文件下载

资源详情

[{"title":"( 2 个子文件 10.14MB ) UVM初级开发指南+示例代码.zip","children":[{"title":"UVM初级开发指南-示例代码.rar <span style='color:#111;'> 9.15MB </span>","children":null,"spread":false},{"title":"UVM初级开发指南.pdf <span style='color:#111;'> 1.20MB </span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明