数字调制解调技术的MATLAB与FPGA实现——XilinxVHDL版.zip

上传者: dovings | 上传时间: 2021-06-02 21:46:24 | 文件大小: 48.67MB | 文件类型: ZIP
该资源是杜勇老师的《数字调制解调技术的MATLAB与FPGA实现——XilinxVHDL版》一书的参考代码,用到的软件是vivado,语言是VHDL,做ASK、FSK、PSK等研究的可以参考。

文件下载

资源详情

[{"title":"( 17 个子文件 48.67MB ) 数字调制解调技术的MATLAB与FPGA实现——XilinxVHDL版.zip","children":[{"title":"数字调制解调技术的MATLAB与FPGA实现——XilinxVHDL版","children":[{"title":"Modem","children":[{"title":"Chapter_3.rar <span style='color:#111;'> 220.61KB </span>","children":null,"spread":false},{"title":"Chapter_8.rar <span style='color:#111;'> 8.93MB </span>","children":null,"spread":false},{"title":"Chapter_2.rar <span style='color:#111;'> 1.85KB </span>","children":null,"spread":false},{"title":"Chapter_6.rar <span style='color:#111;'> 17.54MB </span>","children":null,"spread":false},{"title":"Chapter_4.rar <span style='color:#111;'> 2.22MB </span>","children":null,"spread":false},{"title":"Chapter_5.rar <span style='color:#111;'> 3.15MB </span>","children":null,"spread":false},{"title":"Chapter_7.rar <span style='color:#111;'> 7.50MB </span>","children":null,"spread":false},{"title":"Chapter_9.rar <span style='color:#111;'> 9.65MB </span>","children":null,"spread":false}],"spread":true},{"title":"__MACOSX","children":[{"title":"Modem","children":[{"title":"._Chapter_6.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"._Chapter_7.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"._Chapter_9.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"._Chapter_2.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"._Chapter_5.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"._Chapter_4.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"._Chapter_3.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"._Chapter_8.rar <span style='color:#111;'> 188B </span>","children":null,"spread":false}],"spread":true},{"title":"._Modem <span style='color:#111;'> 188B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明