Verilog HDL 64位并行加法器

上传者: daoxia07 | 上传时间: 2021-11-24 13:28:13 | 文件大小: 810B | 文件类型: -
采用verilog编写,包含测试代码,可以选择实现8位、16位、32位、64位的加法。

文件下载

评论信息

  • qq_41980323 :
    该资源无用,算法原理有问题,程序不完成。
    2021-05-20
  • henryyang0909 :
    这个资源不值这个价,没什么参考价值。何况8位加法器的程序都没给出。
    2016-01-15
  • jade33333 :
    程序不完整,但是可以找到补充的程序。
    2015-05-04
  • u013554772 :
    不完整,但是自己理解一下,剩余部分还是比较好做出来的。
    2014-04-29
  • cupid12321 :
    需要自己改进。原理清楚。
    2014-03-05

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明