Verilog控制ADC的全部程序

上传者: cjlsk | 上传时间: 2021-03-02 15:50:14 | 文件大小: 142KB | 文件类型: RAR
Verilog控制ADC的全部程序,通过Verilog语言控制模数的转换

文件下载

资源详情

[{"title":"( 64 个子文件 142KB ) Verilog控制ADC的全部程序","children":[{"title":"Verilog控制ADC的全部程序","children":[{"title":"使用说明请参看右侧注释====〉〉.txt <span style='color:#111;'> 774B </span>","children":null,"spread":false},{"title":"ADControl","children":[{"title":"block1.qpf <span style='color:#111;'> 901B </span>","children":null,"spread":false},{"title":"block1.pin <span style='color:#111;'> 29.61KB </span>","children":null,"spread":false},{"title":"block1.vwf <span style='color:#111;'> 24.90KB </span>","children":null,"spread":false},{"title":"block1.bsf <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"db","children":[{"title":"add_sub_lec.tdf <span style='color:#111;'> 3.50KB </span>","children":null,"spread":false},{"title":"add_sub_jec.tdf <span style='color:#111;'> 3.16KB </span>","children":null,"spread":false},{"title":"sign_div_unsign_9nh.tdf <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"add_sub_qec.tdf <span style='color:#111;'> 4.34KB </span>","children":null,"spread":false},{"title":"lpm_divide_g8m.tdf <span style='color:#111;'> 2.06KB </span>","children":null,"spread":false},{"title":"add_sub_mac.tdf <span style='color:#111;'> 6.92KB </span>","children":null,"spread":false},{"title":"alt_u_div_2ue.tdf <span style='color:#111;'> 125.77KB </span>","children":null,"spread":false},{"title":"add_sub_5fc.tdf <span style='color:#111;'> 6.17KB </span>","children":null,"spread":false},{"title":"add_sub_4fc.tdf <span style='color:#111;'> 6.01KB </span>","children":null,"spread":false},{"title":"add_sub_9dc.tdf <span style='color:#111;'> 2.70KB </span>","children":null,"spread":false},{"title":"block1.db_info <span style='color:#111;'> 137B </span>","children":null,"spread":false},{"title":"add_sub_nec.tdf <span style='color:#111;'> 3.83KB </span>","children":null,"spread":false},{"title":"add_sub_rec.tdf <span style='color:#111;'> 4.50KB </span>","children":null,"spread":false},{"title":"wed.wsf <span style='color:#111;'> 7.67KB </span>","children":null,"spread":false},{"title":"add_sub_vec.tdf <span style='color:#111;'> 5.17KB </span>","children":null,"spread":false},{"title":"add_sub_7dc.tdf <span style='color:#111;'> 2.39KB </span>","children":null,"spread":false},{"title":"add_sub_uec.tdf <span style='color:#111;'> 5.00KB </span>","children":null,"spread":false},{"title":"add_sub_9fc.tdf <span style='color:#111;'> 6.84KB </span>","children":null,"spread":false},{"title":"add_sub_4dc.tdf <span style='color:#111;'> 1.82KB </span>","children":null,"spread":false},{"title":"block1.eco.cdb <span style='color:#111;'> 161B </span>","children":null,"spread":false},{"title":"add_sub_3dc.tdf <span style='color:#111;'> 1.68KB </span>","children":null,"spread":false},{"title":"add_sub_kec.tdf <span style='color:#111;'> 3.33KB </span>","children":null,"spread":false},{"title":"add_sub_2fc.tdf <span style='color:#111;'> 5.67KB </span>","children":null,"spread":false},{"title":"add_sub_oec.tdf <span style='color:#111;'> 4.00KB </span>","children":null,"spread":false},{"title":"add_sub_tec.tdf <span style='color:#111;'> 4.84KB </span>","children":null,"spread":false},{"title":"add_sub_8dc.tdf <span style='color:#111;'> 2.55KB </span>","children":null,"spread":false},{"title":"add_sub_6fc.tdf <span style='color:#111;'> 6.34KB </span>","children":null,"spread":false},{"title":"add_sub_adc.tdf <span style='color:#111;'> 2.85KB </span>","children":null,"spread":false},{"title":"wed.zsf <span style='color:#111;'> 165B </span>","children":null,"spread":false},{"title":"add_sub_5dc.tdf <span style='color:#111;'> 2.09KB </span>","children":null,"spread":false},{"title":"block1.sld_design_entry.sci <span style='color:#111;'> 154B </span>","children":null,"spread":false},{"title":"add_sub_pec.tdf <span style='color:#111;'> 4.17KB </span>","children":null,"spread":false},{"title":"add_sub_1fc.tdf <span style='color:#111;'> 5.50KB </span>","children":null,"spread":false},{"title":"add_sub_6dc.tdf <span style='color:#111;'> 2.24KB </span>","children":null,"spread":false},{"title":"add_sub_0fc.tdf <span style='color:#111;'> 5.34KB </span>","children":null,"spread":false},{"title":"add_sub_8fc.tdf <span style='color:#111;'> 6.67KB </span>","children":null,"spread":false},{"title":"block1.sim.vwf <span style='color:#111;'> 42.69KB </span>","children":null,"spread":false},{"title":"add_sub_sec.tdf <span style='color:#111;'> 4.67KB </span>","children":null,"spread":false},{"title":"add_sub_bdc.tdf <span style='color:#111;'> 3.01KB </span>","children":null,"spread":false},{"title":"add_sub_7fc.tdf <span style='color:#111;'> 6.51KB </span>","children":null,"spread":false},{"title":"add_sub_3fc.tdf <span style='color:#111;'> 5.84KB </span>","children":null,"spread":false},{"title":"add_sub_mec.tdf <span style='color:#111;'> 3.67KB </span>","children":null,"spread":false}],"spread":false},{"title":"block1_assignment_defaults.qdf <span style='color:#111;'> 32.21KB </span>","children":null,"spread":false},{"title":"block1.fit.rpt <span style='color:#111;'> 257.10KB </span>","children":null,"spread":false},{"title":"block1.flow.rpt <span style='color:#111;'> 4.73KB </span>","children":null,"spread":false},{"title":"ad_control.v <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"block1.bdf <span style='color:#111;'> 5.01KB </span>","children":null,"spread":false},{"title":"block1.qws <span style='color:#111;'> 846B </span>","children":null,"spread":false},{"title":"ad_control.bsf <span style='color:#111;'> 2.27KB </span>","children":null,"spread":false},{"title":"block1.fit.smsg <span style='color:#111;'> 411B </span>","children":null,"spread":false},{"title":"block1.asm.rpt <span style='color:#111;'> 5.58KB </span>","children":null,"spread":false},{"title":"block1.map.rpt <span style='color:#111;'> 47.39KB </span>","children":null,"spread":false},{"title":"block1.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"block1.fit.summary <span style='color:#111;'> 408B </span>","children":null,"spread":false},{"title":"block1.qsf <span style='color:#111;'> 1.79KB </span>","children":null,"spread":false},{"title":"block1.tan.summary <span style='color:#111;'> 1.45KB </span>","children":null,"spread":false},{"title":"block1.tan.rpt <span style='color:#111;'> 191.86KB </span>","children":null,"spread":false},{"title":"block1.sim.rpt <span style='color:#111;'> 1.55MB </span>","children":null,"spread":false},{"title":"block1.map.summary <span style='color:#111;'> 316B </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}]

评论信息

  • alenroy :
    下来看看,verilog刚刚开始入门,多谢分享
    2014-06-10
  • whopawo321 :
    n=system_clock/f_hz 这一句没看懂 不过很有用啦 才刚学EDA的
    2012-05-10

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明