usb2.0接口的设计与实现(vc++)

上传者: bwgwangjing | 上传时间: 2023-11-08 08:02:26 | 文件大小: 3.43MB | 文件类型: RAR
这个文件包是一个完整的接口程序,有5个文件夹分别是 读FIFO,读写FIFO,固件源代码,驱动程序,写FIFO,为了方便大家学习,还有一个详细的说明文档,希望对大家有帮助。

文件下载

资源详情

[{"title":"( 485 个子文件 3.43MB ) usb2.0接口的设计与实现(vc++)","children":[{"title":"fifo.__i <span style='color:#111;'> 48B </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 621B </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 543B </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 540B </span>","children":null,"spread":false},{"title":"dscr.a51 <span style='color:#111;'> 7.62KB </span>","children":null,"spread":false},{"title":"Test.aps <span style='color:#111;'> 21.82KB </span>","children":null,"spread":false},{"title":"Test.aps <span style='color:#111;'> 21.18KB </span>","children":null,"spread":false},{"title":"Test.aps <span style='color:#111;'> 21.17KB </span>","children":null,"spread":false},{"title":"ezusbsys.aps <span style='color:#111;'> 17.36KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 23.07KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 15.50KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 11.59KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 10.29KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 9.34KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 8.77KB </span>","children":null,"spread":false},{"title":"build.bat <span style='color:#111;'> 1.89KB </span>","children":null,"spread":false},{"title":"MakeDrvr.bat <span style='color:#111;'> 337B </span>","children":null,"spread":false},{"title":"ezusbsys.c <span style='color:#111;'> 152.22KB </span>","children":null,"spread":false},{"title":"fw.c <span style='color:#111;'> 13.18KB </span>","children":null,"spread":false},{"title":"fifo.c <span style='color:#111;'> 9.77KB </span>","children":null,"spread":false},{"title":"asyn_rd.cmp.cdb <span style='color:#111;'> 128.13KB </span>","children":null,"spread":false},{"title":"asyn_rd.sgdiff.cdb <span style='color:#111;'> 40.67KB </span>","children":null,"spread":false},{"title":"asyn_rd.map.cdb <span style='color:#111;'> 40.00KB </span>","children":null,"spread":false},{"title":"rd_wr_fifo.cmp.cdb <span style='color:#111;'> 33.24KB </span>","children":null,"spread":false},{"title":"rd_wr_fifo.pre_map.cdb <span style='color:#111;'> 17.17KB </span>","children":null,"spread":false},{"title":"rd_wr_fifo.rtlv_sg.cdb <span style='color:#111;'> 17.07KB </span>","children":null,"spread":false},{"title":"rd_wr_fifo.(0).cnf.cdb <span style='color:#111;'> 13.64KB </span>","children":null,"spread":false},{"title":"asyn_rd.(34).cnf.cdb <span style='color:#111;'> 10.72KB </span>","children":null,"spread":false},{"title":"asyn_rd.(54).cnf.cdb <span style='color:#111;'> 9.73KB </span>","children":null,"spread":false},{"title":"rd_wr_fifo.sgdiff.cdb <span style='color:#111;'> 9.06KB </span>","children":null,"spread":false},{"title":"rd_wr_fifo.map.cdb <span style='color:#111;'> 8.78KB </span>","children":null,"spread":false},{"title":"asyn_rd.(1).cnf.cdb <span style='color:#111;'> 8.71KB </span>","children":null,"spread":false},{"title":"asyn_rd.(43).cnf.cdb <span style='color:#111;'> 8.13KB </span>","children":null,"spread":false},{"title":"wr_fifo.cmp.cdb <span style='color:#111;'> 6.86KB </span>","children":null,"spread":false},{"title":"asyn_rd.(5).cnf.cdb <span style='color:#111;'> 6.07KB </span>","children":null,"spread":false},{"title":"asyn_rd.(52).cnf.cdb <span style='color:#111;'> 5.06KB </span>","children":null,"spread":false},{"title":"asyn_rd.(2).cnf.cdb <span style='color:#111;'> 4.81KB </span>","children":null,"spread":false},{"title":"asyn_rd.(55).cnf.cdb <span style='color:#111;'> 4.63KB </span>","children":null,"spread":false},{"title":"asyn_rd.(62).cnf.cdb <span style='color:#111;'> 4.44KB </span>","children":null,"spread":false},{"title":"wr_fifo.pre_map.cdb <span style='color:#111;'> 4.19KB </span>","children":null,"spread":false},{"title":"asyn_rd.(51).cnf.cdb <span style='color:#111;'> 4.17KB </span>","children":null,"spread":false},{"title":"wr_fifo.rtlv_sg.cdb <span style='color:#111;'> 4.13KB </span>","children":null,"spread":false},{"title":"asyn_rd.(53).cnf.cdb <span style='color:#111;'> 3.79KB </span>","children":null,"spread":false},{"title":"wr_fifo.(0).cnf.cdb <span style='color:#111;'> 3.46KB </span>","children":null,"spread":false},{"title":"asyn_rd.(41).cnf.cdb <span style='color:#111;'> 3.44KB </span>","children":null,"spread":false},{"title":"asyn_rd.(42).cnf.cdb <span style='color:#111;'> 3.38KB </span>","children":null,"spread":false},{"title":"asyn_rd.(3).cnf.cdb <span style='color:#111;'> 3.15KB </span>","children":null,"spread":false},{"title":"asyn_rd.(40).cnf.cdb <span style='color:#111;'> 3.11KB </span>","children":null,"spread":false},{"title":"asyn_rd.(59).cnf.cdb <span style='color:#111;'> 3.10KB </span>","children":null,"spread":false},{"title":"asyn_rd.(6).cnf.cdb <span style='color:#111;'> 3.09KB </span>","children":null,"spread":false},{"title":"asyn_rd.(35).cnf.cdb <span style='color:#111;'> 2.84KB </span>","children":null,"spread":false},{"title":"asyn_rd.(4).cnf.cdb <span style='color:#111;'> 2.68KB </span>","children":null,"spread":false},{"title":"asyn_rd.(14).cnf.cdb <span style='color:#111;'> 2.61KB </span>","children":null,"spread":false},{"title":"asyn_rd.(44).cnf.cdb <span style='color:#111;'> 2.57KB </span>","children":null,"spread":false},{"title":"asyn_rd.(61).cnf.cdb <span style='color:#111;'> 2.54KB </span>","children":null,"spread":false},{"title":"asyn_rd.(58).cnf.cdb <span style='color:#111;'> 2.53KB </span>","children":null,"spread":false},{"title":"asyn_rd.(17).cnf.cdb <span style='color:#111;'> 2.46KB </span>","children":null,"spread":false},{"title":"wr_fifo.map.cdb <span style='color:#111;'> 2.44KB </span>","children":null,"spread":false},{"title":"asyn_rd.(37).cnf.cdb <span style='color:#111;'> 2.42KB </span>","children":null,"spread":false},{"title":"asyn_rd.(45).cnf.cdb <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"wr_fifo.sgdiff.cdb <span style='color:#111;'> 2.19KB </span>","children":null,"spread":false},{"title":"asyn_rd.(57).cnf.cdb <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"asyn_rd.(47).cnf.cdb <span style='color:#111;'> 2.07KB </span>","children":null,"spread":false},{"title":"asyn_rd.(31).cnf.cdb <span style='color:#111;'> 2.06KB </span>","children":null,"spread":false},{"title":"asyn_rd.(15).cnf.cdb <span style='color:#111;'> 2.01KB </span>","children":null,"spread":false},{"title":"asyn_rd.(56).cnf.cdb <span style='color:#111;'> 1.99KB </span>","children":null,"spread":false},{"title":"asyn_rd.(29).cnf.cdb <span style='color:#111;'> 1.98KB </span>","children":null,"spread":false},{"title":"asyn_rd.(39).cnf.cdb <span style='color:#111;'> 1.89KB </span>","children":null,"spread":false},{"title":"asyn_rd.(33).cnf.cdb <span style='color:#111;'> 1.67KB </span>","children":null,"spread":false},{"title":"asyn_rd.(18).cnf.cdb <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"asyn_rd.(9).cnf.cdb <span style='color:#111;'> 1.43KB </span>","children":null,"spread":false},{"title":"asyn_rd.(12).cnf.cdb <span style='color:#111;'> 1.42KB </span>","children":null,"spread":false},{"title":"asyn_rd.(10).cnf.cdb <span style='color:#111;'> 1.37KB </span>","children":null,"spread":false},{"title":"asyn_rd.(21).cnf.cdb <span style='color:#111;'> 1.32KB </span>","children":null,"spread":false},{"title":"asyn_rd.(7).cnf.cdb <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"asyn_rd.(0).cnf.cdb <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":"asyn_rd.pre_map.cdb <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":"asyn_rd.(32).cnf.cdb <span style='color:#111;'> 1.24KB </span>","children":null,"spread":false},{"title":"asyn_rd.rtlv_sg.cdb <span style='color:#111;'> 1.23KB </span>","children":null,"spread":false},{"title":"asyn_rd.(11).cnf.cdb <span style='color:#111;'> 1.16KB </span>","children":null,"spread":false},{"title":"asyn_rd.(25).cnf.cdb <span style='color:#111;'> 1.14KB </span>","children":null,"spread":false},{"title":"asyn_rd.(24).cnf.cdb <span style='color:#111;'> 1.13KB </span>","children":null,"spread":false},{"title":"asyn_rd.(16).cnf.cdb <span style='color:#111;'> 1.11KB </span>","children":null,"spread":false},{"title":"asyn_rd.(30).cnf.cdb <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":"asyn_rd.(13).cnf.cdb <span style='color:#111;'> 1.08KB </span>","children":null,"spread":false},{"title":"asyn_rd.(38).cnf.cdb <span style='color:#111;'> 1.08KB </span>","children":null,"spread":false},{"title":"asyn_rd.(20).cnf.cdb <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"asyn_rd.(49).cnf.cdb <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false},{"title":"asyn_rd.(50).cnf.cdb <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"asyn_rd.(60).cnf.cdb <span style='color:#111;'> 1.00KB </span>","children":null,"spread":false},{"title":"asyn_rd.(36).cnf.cdb <span style='color:#111;'> 1012B </span>","children":null,"spread":false},{"title":"asyn_rd.(19).cnf.cdb <span style='color:#111;'> 946B </span>","children":null,"spread":false},{"title":"asyn_rd.(22).cnf.cdb <span style='color:#111;'> 935B </span>","children":null,"spread":false},{"title":"asyn_rd.(26).cnf.cdb <span style='color:#111;'> 931B </span>","children":null,"spread":false},{"title":"asyn_rd.(8).cnf.cdb <span style='color:#111;'> 865B </span>","children":null,"spread":false},{"title":"asyn_rd.(46).cnf.cdb <span style='color:#111;'> 843B </span>","children":null,"spread":false},{"title":"asyn_rd.(27).cnf.cdb <span style='color:#111;'> 746B </span>","children":null,"spread":false},{"title":"asyn_rd.(23).cnf.cdb <span style='color:#111;'> 698B </span>","children":null,"spread":false},{"title":"asyn_rd.(48).cnf.cdb <span style='color:#111;'> 630B </span>","children":null,"spread":false},{"title":"wr_fifo.signalprobe.cdb <span style='color:#111;'> 533B </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明