汽车尾灯课程设计 VHDL EDA

上传者: a25160223 | 上传时间: 2021-12-13 21:52:49 | 文件大小: 227KB | 文件类型: -
根据现代交通规则,汽车尾灯控制器应满足以下基本要求: 1.汽车正常使用是指示灯不亮 2.汽车右转时,右侧的一盏灯亮 3.汽车左转时,左侧的一盏灯亮 4.汽车刹车时,左右两侧的指示灯同时亮 5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用 1.引 言 1 1.1设计的目的 1 1.2设计的基本内容 1 1.3 EDA的介绍 1 1.3.1 EDA技术的概念 1 1.3.2 EDA技术的特点 2 1.3.3 EDA设计流程 2 1.4硬件描述语言(VHDL) 2 1.4.1 VHDL的介绍 2 1.4.2 VHDL语言的特点 3 2.总体设计 4 2.1需求分析 4 2.2汽车尾灯控制器的工作原理 4 2.3 汽车运行状态表和总体框图 5 3.详细设计 6 3.1各组成模块 6 3.2时钟分频模块 6 3.3 汽车尾灯主控模块 6 3.4左边灯控制模块 7 3.5右边灯控制模块 9 4.系统仿真与调试 10 4.1分频模块仿真及分析 10 4.2汽车尾灯主控模块仿真及分析 10 4.3左边灯控制模块仿真及分析 11 4.4右边灯控制模块仿真及分析 11 4.5整个系统仿真及分析 12 4.6 总体设计电路图 12 总结 13 参考文献 14

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明