cyclone4 FPGA PWM蜂鸣器实验 Verilog逻辑源码Quartus工程文件+文档说明.zip

上传者: GZXGYZ | 上传时间: 2021-07-08 09:03:03 | 文件大小: 489KB | 文件类型: ZIP
cyclone4 FPGA PWM蜂鸣器实验 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。

文件下载

资源详情

[{"title":"( 33 个子文件 489KB ) cyclone4 FPGA PWM蜂鸣器实验 Verilog逻辑源码Quartus工程文件+文档说明.zip","children":[{"title":"07_buzzer_pwm_test","children":[{"title":"db","children":[{"title":"buzzer_pwm_test.sld_design_entry.sci <span style='color:#111;'> 227B </span>","children":null,"spread":false},{"title":".cmp.kpt <span style='color:#111;'> 209B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test_partition_pins.json <span style='color:#111;'> 323B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.db_info <span style='color:#111;'> 144B </span>","children":null,"spread":false}],"spread":true},{"title":"ax301_ax4010_base.tcl <span style='color:#111;'> 683B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test_assignment_defaults.qdf <span style='color:#111;'> 54.32KB </span>","children":null,"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"buzzer_pwm_test.db_info <span style='color:#111;'> 144B </span>","children":null,"spread":false}],"spread":true},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"buzzer_pwm_test.jdi <span style='color:#111;'> 135B </span>","children":null,"spread":false},{"title":"src","children":[{"title":"ax_debounce.v <span style='color:#111;'> 4.28KB </span>","children":null,"spread":false},{"title":"ax_pwm.v <span style='color:#111;'> 3.17KB </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.v <span style='color:#111;'> 3.56KB </span>","children":null,"spread":false}],"spread":true},{"title":"buzzer_pwm_test.qsf <span style='color:#111;'> 2.09KB </span>","children":null,"spread":false},{"title":"sim","children":[{"title":"buzzer_pwm_test_tb.v <span style='color:#111;'> 1.91KB </span>","children":null,"spread":false},{"title":"start.do <span style='color:#111;'> 342B </span>","children":null,"spread":false}],"spread":true},{"title":"buzzer_pwm_test.qpf <span style='color:#111;'> 38B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.qws <span style='color:#111;'> 48B </span>","children":null,"spread":false},{"title":"output_files","children":[{"title":"buzzer_pwm_test.sof <span style='color:#111;'> 350.32KB </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.map.smsg <span style='color:#111;'> 237B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.sld <span style='color:#111;'> 21B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.jdi <span style='color:#111;'> 233B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.fit.smsg <span style='color:#111;'> 703B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.flow.rpt <span style='color:#111;'> 8.37KB </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.fit.summary <span style='color:#111;'> 619B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.pin <span style='color:#111;'> 32.17KB </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.sta.summary <span style='color:#111;'> 954B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.fit.rpt <span style='color:#111;'> 142.15KB </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.map.summary <span style='color:#111;'> 483B </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.asm.rpt <span style='color:#111;'> 4.54KB </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.sta.rpt <span style='color:#111;'> 147.81KB </span>","children":null,"spread":false},{"title":"buzzer_pwm_test.map.rpt <span style='color:#111;'> 36.36KB </span>","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"07.PWM蜂鸣器实验.pdf <span style='color:#111;'> 440.58KB </span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明