高速双路DAC AD9708 FPGA读写实验 Verilog设计源码Quartus工程文件.zip

上传者: GJZGRB | 上传时间: 2021-03-16 09:16:55 | 文件大小: 5.69MB | 文件类型: ZIP
高速双路DAC AD9708 FPGA读写实验 Verilog设计源码Quartus工程文件,DAC芯片选用AD9708,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module hs_dual_da( input sys_clk , //系统时钟 input sys_rst_n , //系统复位,低电平有效 //DA芯片接口 output da_clk , //DA(AD9708)驱动时钟,最大支持125Mhz时钟 output [9:0] da_data , //输出给DA的数据 //DA芯片接口 output da_clk1 , //DA(AD9708)驱动时钟,最大支持125Mhz时钟 output [9:0] da_data1 //输出给DA的数据 ); //wire define wire [9:0] rd_addr; //ROM读地址 wire [9:0] rd_data; //ROM读出的数据 //***************************************************** //** main code //***************************************************** //assign ad_clk2 = ad_clk ; assign da_clk1 = da_clk; assign da_data1 = da_data; pll u_pll( .inclk0 (sys_clk), .c0 (clk)); //DA数据发送 da_wave_send u_da_wave_send( .clk (clk), .rst_n (sys_rst_n), .rd_data (rd_data), .rd_addr (rd_addr), .da_clk (da_clk), .da_data (da_data) ); //ROM存储波形 rom_1024x10b u_rom_1024x10b( .address (rd_addr), .clock (clk), .q (rd_data) ); endmodule

文件下载

资源详情

[{"title":"( 292 个子文件 5.69MB ) 高速双路DAC AD9708 FPGA读写实验 Verilog设计源码Quartus工程文件.zip","children":[{"title":"hs_ad_da.v.bak <span style='color:#111;'> 2.51KB </span>","children":null,"spread":false},{"title":"da_wave_send.v.bak <span style='color:#111;'> 2.65KB </span>","children":null,"spread":false},{"title":"da_wave_send.v <span style='color:#111;'> 1.83KB </span>","children":null,"spread":false},{"title":"hs_dual_da.v <span style='color:#111;'> 1.39KB </span>","children":null,"spread":false},{"title":"ad_wave_rec.v.bak <span style='color:#111;'> 1.81KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • m0_57224842 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-07-21
  • xsxiaogang :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-07-01

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明