通过数码管显示表决结果,单2票及2票以上通过时显示PASS,否则显示STOP
2020-01-03 11:16:20 45KB VHDL 表决器
1
要求: 1、7人多数表决逻辑:多数通过。 2、在主持人控制下,10秒内表决有效。 3、采用数码管显示表决10秒倒计时。 4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果:通过、不通过,同意人数。 5、设主持人控制键、复位键。            控制键:启动表决            复位键:系统复位 6、表决开始、结束采用声音提示。
1
7人表决器本设计就是利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板数码管显示同意的人数,否则D1_1熄灭表示一致反对
2019-12-21 21:25:00 488KB EDA实验
1
分别用74138和74151实现四人表决器
2019-12-21 21:19:34 309KB 表决器
1
三人表决器,基于multisim,实现三人表决功能。有一人抢答后,其余人抢答无效
2019-12-21 20:57:28 306KB 三人,表
1
基于alter公司cycloneII开发平台下的VHDL编程,实现了七人表决的功能,七个按键分别对应七个人,当有人同意时,按键按下,置“1”,当有人不同意时按键挑起,置“0”,同时LED灯会随着对应的按键按下变亮或者熄灭,当同意认输超过3人时第一个LED灯点亮,表示表决通过,同时数码管一直会显示出同意的人数。
2019-12-21 20:54:01 335KB vhdl语言开发
1
实现5人表决,程序运行过的,可实现!超过三人输出为同意。
2019-12-21 20:49:35 1KB FPG
1
通过测试的基于C51单片机的表决器代码,适合初次接触单片机又想做自己的设计作品的爱好者
2019-12-21 20:31:22 6KB 表决器C51
1
protel99se原创电路图PCB图 8人表决器 .
2019-12-21 20:24:34 80KB 电路
1
基于quartusII的五人表决电路设计实例,包含源代码,设计图,用verilog语言描述
2019-12-21 19:56:16 4.06MB quartusII eda
1