a-date-picker时间选择器 Ant Desugn Vue的时间选择器,实习也有一段时间了,也遇到了一些问题,就想记录一下,自认为水平不是很高,欢迎批评指正 //标签 data() { return { Survey: {},//存放截止时间 disabledTime: { hour: '',//存放被ban掉的时 minute: ''//存放被ban掉的分 } } }, methods: { OpenModel(record) { this.Survey = { ...re
2021-10-22 20:01:02 40KB esi sign ue
1
[EBOOK]Asynchronous Circuit Design--Chris.J.Myers(包含源代码) With asynchronous circuit design becoming a powerful tool in the development of new digital systems, circuit designers are expected to have asynchronous design skills and be able to leverage them to reduce power consumption and increase system speed. This book walks readers through all of the different methodologies of asynchronous circuit design, emphasizing practical techniques and real-world applications instead of theoretical simulation. The only guide of its kind, it also features an ftp site complete with support materials. Market: Electrical Engineers, Computer Scientists, Device Designers, and Developers in industry Designers , different , Practical , Techniques , 源代码
2021-10-22 11:58:28 30.13MB Asynchronous Circuit  Design 源代码
1
汽车自动驾驶 MPC 模型预测控制 实例文件 详细附说明 汽车自动驾驶 MPC 模型预测控制 实例文件 详细附说明 汽车自动驾驶 MPC 模型预测控制 实例文件 详细附说明
2021-10-22 10:46:50 540KB MPC Simulink 仿真
1
Digital Integrated Circuit Design Using Verilog and Systemverilog 作者: Ronald W. Mehler; 语种: 英语(English) 出版日期: 2014-09-30 出版社: Newnes 页数: 448 简介:作者是加州大学北岭分校教授,在数字电路设计领域具有很深的造诣,这本教材是其学术集大成
2021-10-21 23:14:47 67.93MB 数字集成电路 IC FPGA Verilog
1
适用于希望在没有电气工程背景的情况下学习计算机组织的计算机科学专业的学生。 使用实用的术语和示例涵盖诸如模数转换,CRC和存储组织等主题。
2021-10-21 16:12:12 101B 计算机科学
1
This book instructs readers on the nuances of DRAM design, making it accessible for both novice and practicing engineers and covering particular information necessary for working with both the analog and digital circuits present in DRAM chips.
2021-10-21 09:27:21 4.34MB DRAM
1
基于UPF的低功耗验证
2021-10-20 22:05:14 1.62MB UPF 低功耗
1
matlab的egde源代码带有BF的BF设计 这是论文“使用深度学习的大型天线阵列的波束成形设计”的仿真代码。 本文发表在IEEE无线通信快报上。 IEEE链接: Arxiv链接: 我建议在arxiv上使用预打印版本。 另外,可以参考中文版博客 要求: Tensorflow-gpu = 1.12.0 现在它支持tf 2.3.0,只需运行文件train_v2.py 主要修订是API batch_dot与tensorflow 1不同 (Tensorflow 1.12.0更适合调试,而使用cuda10的tensorflow 1.13.0可以运行得更快) 如果您对如何在一台计算机上使用几种不同的张量流和不同版本的CUDA感到困惑,那么可能会有帮助。 结果 在分叉存储库并下载相应的数据集和经过训练的模型之后,可以轻松再现以下性能结果。 (Python代码仅适用于蓝色的子宫颈,比较的子宫颈应通过Matlab代码绘制) 如何使用: 运行train.py训练模型 运行test.py以测试经过训练的模型 由于github的空间限制,我们仅为运行示例提供了两个很小的培训和测试数据集。 数据集和训练有素的
2021-10-20 21:56:49 592KB 系统开源
1
系统分析与设计 文档全英文 利用visual paradigm画图 包括非功能性需求 功能性需求 用例图 类图 状态图等
2021-10-20 19:48:10 1.41MB system analysis design
1
OpenLANE OpenLANE是一种自动化的RTL2GDSII流程,其中包括许多其他EDA工具。 Yosys,OpenSTA,Netgen,Magic等。OpenLANE的主要思想是在没有任何人工干预的情况下拥有完整而干净的RTL2GDSII流。 OpenLANE针对Skywatrer 130nm开源PDK进行了调整,可用于生产硬宏和芯片。 介绍 OpenLANE ASIC流程有几个步骤。 该流程以设计RTL开始,以GSII格式的最终​​布局结束。 要使它发挥作用,需要PDK。 OpenLANE基于多个开源项目,例如Magic,Yosys,qflow,Fault,openroad,abc和Klayout。 这是ASIC流程。 该流程从RTL合成开始。 RTL在某些设计约束下被馈送到yosys 。 yosys基本上使用工程组件将RTL转换为逻辑电路。 该电路经过优化,然后使用abc映
2021-10-20 19:29:58 829KB
1