基于VHDL语言的EDA秒表作业设计,包括分频、秒表主体和数码管显示译码器,附有工程文件和管脚信息(EDA大作业西电02105143)
2021-10-27 17:55:57 423KB VHDL语言 EDA秒表
1
fpga实现7段数码管显示(vhdl),通常为了方便使用,七段数码管还会多出一段用来表示 “小数点”,因此七段数码管实际上是八段,习惯上仍称为“七段数码管”。 七段数码管分共阴极和共阳极两种封装形式,当七段数码管中所有段 LED 的 负极连接在一起进制控制,而所有的 LED 正极单独控制,则称为“共阴极” 数码管,反之则称为“共阳极”数码管。本实验中 FPGA 实验板上用到的是 “共阳极”七段数码管,如图 所示。
2021-10-27 16:58:11 16.53MB fpgA VHDL
1
北京邮电大学数字电路综合实验洗衣机控制器实验报告【完整的VHDL源代码】
2021-10-27 11:16:04 1.51MB 北邮 数电 洗衣机 VHDL
1
EDA课程的VHDL设计实例:出租车计价器。包括满三公里开始计费,满20元改变价格,暂停,加速等功能
2021-10-27 09:03:27 8KB VHDL EDA 出租车计价器
1
24秒计时器 VHDL entity timer is port( clk : in std_logic; rst : in std_logic; pause : in std_logic; hit : in std_logic; sec1 : out std_logic_vector(5 downto 0); sec2 : out std_logic_vector(7 downto 0); sec1_pause : out std_logic_vector(5 downto 0); sec2_pause : out std_logic_vector(7 downto 0) ); end timer;
2021-10-26 16:59:27 2KB VHDL 计时器
1
方波 上升锯齿波 下降锯齿波 三角波 正弦波 阶梯波
2021-10-26 16:39:33 2.16MB VHDL 信号发生器
1
ldpc matlab代码LDPC-CODE-USING-VHDL 使用最小和算法的 LDPC 代码的 VHDL 实现。 矩阵 = [2304 1152]; 算法:Min-Sum。 VHDL 代码是使用 Matlab 脚本生成的。 该脚本生成合成的 VHDL 文件。 使用的组件将很快添加
2021-10-26 13:40:12 336KB 系统开源
1
交通灯课程设计的VHDL源码。经测试,完全没有问题!可以直接使用各个模块,各个模块可独立使用。
2021-10-26 13:03:57 770KB 交通灯 课程设计
1
VHDL微波炉控制器,通过模块化设计,方便理解
2021-10-25 18:27:46 272KB vhdl
1
vhdl语言编写的按键消抖程序, 还有进行元件例化直接生成3个按键操作
2021-10-25 18:24:34 353KB 按键消抖 vhdl
1