基于FPGA的交通灯课程设计资料,包括程序源码及论文资料,以FPGA为核心,设计一个交通灯控制器,每个路口都有红、黄、绿三盏灯。该交通信号灯控制器由一条车道A和一条车道B汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。
2021-05-30 16:14:59 6.76MB FPGA
1
基于multisim的交通灯用于数字电子技术课程设计十字路口的交通灯控制电路,东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。时间可设置修改。
2021-05-30 15:48:57 257KB 交通灯 multisim
1
微机原理的课程设计,基于8086,8255,82563,交通灯的原理图。
2021-05-30 13:15:01 25KB protues
1
使用8086搭配8259、8253、8255实现十字路口的交通信号灯,并使用LED提供10秒倒计时提醒。原理图+代码
2021-05-30 13:10:51 35KB proteus 微机原理 十字路口交通灯
1
由于灯光控制只需要开、关两个状态,所以可以采用开关量实施控制。开关量的输出可以采用8255A的端口,其中A端口中PA0-PA3接红灯,B端口中PB0—PB3接黄灯,C端口中PC0-PC3接绿灯,又因为灯光控制不需要联络信号,所以按照方式0输出即可。
2021-05-30 12:54:14 422KB 8255A应用交通灯控制
1
可编程器件的广泛应用,为数字系统的设计带来了极大的灵活性。由于可编程器件可以通过软件编程对硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样快捷方便。由于高速发展的FPGA/CPLD兼有串、并行工作方式和高速、高可靠性的特点,在电子系统设计中得到了广泛应用。 通常使用硬件描述语言(Hardware DescriPtion Lan-guage,HDL)进行数字电子系统设计。目前应用广泛的硬件描述语言有:VHDL语言, Verilog HDL语言,AHDL语言。VHDL语言由于具有强大的行为描述能力和丰富的仿真语句从而成为系统设计领域最佳的硬件描述语言。
2021-05-30 08:54:04 412KB FPGA 交通灯设计与实现 源程序
1
基于FPGA的交通灯的设计实验,采用VHDL编写程序,并在QUARTUS II工具平台仿真,下载到实验箱进行验证。本次设计较复杂,如果不采用状态机的方式实现起来会非常繁琐,所以在功能中采用状态机的方式实现。
2021-05-30 08:48:52 678KB FPGA实验
1
微机原理 8086 交通灯 proteus仿真
2021-05-28 08:14:23 83KB 8086 交通
1
9子S7200和组态王653的交通灯监控系统设计.pdf9子S7200和组态王653的交通灯监控系统设计.pdf9子S7200和组态王653的交通灯监控系统设计.pdf
1
交通灯_道路部分.cpp
2021-05-27 16:00:05 3KB 1
1