代码是在Xlinx IDE上完成的,完整的工程,需要用Xlinx打开
2021-11-07 23:00:21 466KB vhdl xlinx
1
基于VHDL语言的交通信号灯的设计,里面有完整的文档
2021-11-07 20:28:07 529KB VHDL 交通信号灯的设计
1
用VHDL编写的五人表决器实验程序。三人以上同意通过,则结果为通过,否则不通过。
2021-11-07 16:44:04 437KB VHDL(FPGA)
1
上传一点自己写的VHDL基础程序供大家参考,实验内容为跑马灯程序。
2021-11-07 13:51:37 2KB VHDL 基础程序
1
VHDL同步复位的D触发器,使用VHDL语言
2021-11-07 12:17:35 123KB VHDL 同步
1
旋转因子复数乘法通常由4次实数乘法和2次加减法运算实现
2021-11-06 23:09:25 2KB FFT VHDL
1
VHDL编写的各种状态机的程序,比较基础,适合初学者。
1
关于硬件的实验,是老师布置的大作业,该算法采用VHDL语言,连接试验箱可以实现LED数码管滚动学号。简单方便,都是平时学习的内容。
2021-11-06 18:51:30 1.49MB VHDL 滚动学号
1
hdl闹钟设计 实现定时 显示 闹铃等功能,与实际时钟类似
2021-11-06 15:10:37 2.89MB clock 闹钟 定时 闪烁
1
脉搏计 出租车计价 交通灯 电梯控制
2021-11-06 14:59:43 382KB VHDL课程设计报告
1