偏置正交相移键控及4正交差分相移键控 最小频移键控(MSK)及高斯最小频移键控(GMSK)
1
用systemview软件完成MSK的调制与解调
2021-04-27 18:20:56 2KB MSK systemview
1
多振幅MSK的设计与仿真,全英文,可作为毕业设计的外文翻译和参考文献。
2021-04-21 16:36:02 1.12MB MSK
1
对比msk gmsk误码率,在simulnk中可以调节GMSK的BT数值进行误码率对比
2021-04-19 23:12:41 304KB MSK gMSK SIMULINK
1
用systemview做的MSK的仿真. 有图有真相 嘿嘿 需要的下载吧
2021-04-18 16:34:38 46KB 通信仿真
1
matlab仿真实现MSK调制 仅供学习参考
2021-04-14 13:54:37 2KB matlab MSK 调制解调
1
完整matlab代码,可以供大家一起学习探讨
2021-04-14 13:49:43 18KB msk 调制解调 matlab
1
这是用matlab产生一个msk调制信号的原理图和代码,里面还有详细步骤,希望对大家有所帮助!
2021-04-14 13:39:06 40KB msk 框图
1
这是我下载该网站“systemview仿真例子(很多的哦)”资源后发现其中8-13有错误,重新设计的。
2021-04-14 08:28:38 52KB MSK systemview
1
architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain = cdata (0) then m<=3; else m m <= 0;
2021-04-13 16:40:01 275KB FPGA
1