完整matlab代码,可以供大家一起学习探讨
2021-04-14 13:49:43 18KB msk 调制解调 matlab
1
这是用matlab产生一个msk调制信号的原理图和代码,里面还有详细步骤,希望对大家有所帮助!
2021-04-14 13:39:06 40KB msk 框图
1
这是我下载该网站“systemview仿真例子(很多的哦)”资源后发现其中8-13有错误,重新设计的。
2021-04-14 08:28:38 52KB MSK systemview
1
architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain = cdata (0) then m<=3; else m m <= 0;
2021-04-13 16:40:01 275KB FPGA
1
MSK信号检测识别的FPGA实现,采用MSK调制的跳频通信具有主瓣能量集中、旁瓣衰落滚降快、频谱利用率高和抗干扰能力强等优点,在军事通信中应用广泛。如美军现役的联合战术信息分发系统采用的通信信号,工作带宽969~1206MHz,跳频速率为70000多
2021-04-13 15:54:04 252KB FPGA
1
msk的调制和解调程序,并给出了误码率和频谱分析结果。
2021-04-11 18:45:57 2KB msk
1
实现了msk调制,用verilog程序写的,从网上找的好使的
2021-04-06 09:00:45 4KB msk调制
1
整的QPSK-MSK-QAM-OFDM调制解调m程序
2021-03-27 10:40:38 3KB m程序
1
内容详细介绍了基于FPGA的数字模拟调制技术,内有相关代码,其中对相对复杂的MSK调制原理,GMSK的高斯低通滤波器设计做了相关说明,介绍了在VIVADO平台的DDS正弦信号发生器、根据FIR滤波器设计高斯低通等经典IP的使用。适合新手和想做相关知识的大学生下载学习,希望能对你有所帮助。
1