实验设计:利用半加器计算8位原码求补码的电路图,请用logisim.exe打开,欢迎下载。
2021-01-28 02:46:51 24KB 数电 实验
燕山大学组成原理三级项目文档,内容为补码一位乘。
1
定点补码一位乘法器的设计 计算机组成原理课程设计 可硬件下载
1
matlab实现十进制到二进制定点有符号补码小数的转换,其中定点小数的整数部分位长和小数部分位长可以自己指定,输出的最高位表示符号位(0为整数,1为负数),补码表示
2019-12-21 22:16:03 683B matlab 十进制 二进制 有符号
1
16位补码并行加法器(含减法器)实验,项目和报告
2019-12-21 21:45:37 1.3MB 计算机组成原理
1
试编写一个程序,要求比较数组ARRAY中的三个16位补码数,并根据比较结果在终端上显示如下信息: 如果三个数都不相等则显示0; 如果三个数有两个相等则显示1; 如果三个数都相等则显示2。
2019-12-21 21:20:15 3KB 汇编
1
用的算法为定点补码一位除法,采用加减交替法,补码除法的符号位和数值部分是一起参与运算的,因此在算法上不像原码除法那样直观,主要解决三个问题:(1)如何确定商值;(2)如何形成商符;(3)如何获得新的余数。
2019-12-21 20:46:48 1.8MB 补码除法 课程设计
1
用verilog语言编写的补码加减法器,其中三位数值为,一位符号位。
2019-12-21 20:19:21 606B 补码四位加减法器,verilogHDL
1
讨论当相乘的两个数中有一个或二个为负数的情况,在讨论补码乘法运算时,对被乘数或部分积的处理上与原码乘法有某些类似,差别仅表现在被乘数和部分积的符号位要和数值一起参加运算
1
我自己写的,感觉 补码到原码的转化,在程序中会用到。我写的是一个串口通讯的项目。我网上找了很久,发现都没有人写过这个代码,我调试了半天,写成功了,大家有需要,就下载,也给我加加积分
2019-12-21 20:11:12 871B java 补码 原码
1