H5游戏联运系统完整源码,PHP开发含开发文档说明教程,完整的源码上线运营过,非常适合做H5游戏的联运平台! 1、配置一个网站指向 /public/ 目录;需要启用rewrite模块; conf/httpd.conf 文件中搜索 mod_rewrite ,将行首的#号去掉; 2、PHP需要启用curl、mcrypt、mbstring、yaf扩展;PHP建议使用5.4版本,最低要求5.3版本; curl、mcrypt、mbstring扩展 在 php.ini 中去掉 ;extension=php_curl.dll 前面的分号即可; yaf扩展需要将 php_yaf-2.2.9-x86-5.4.x-zts-nodebug.dll 拷贝到 PHP 的 ext 目录下,然后在php.ini 文件中加入一行代码:extension=php_yaf-2.2.9-x86-5.4.x-zts-nodebug.dll 安装包中带有2个yaf PHP 5.4的版本,如果PHP版本不是5.4系列,请到 http://code.google.com/p/yafphp/downloads/list 下载对应的扩展包; nginx, iis, lighttpd, apache prefork模式,需要使用带 nts 的版本,其他情况请用带 zts 的版本; ./configure --enable-fpm --with-fpm-user=www --with-fpm-group=www --with-openssl --with-pcre-regex --with-curl --with-gd --with-freetype-dir --with-jpeg-dir --enable-gd-native-ttf --enable-mbstring --with-mcrypt --with-mhash --with-mysql --with-mysqli --with-pdo-mysql --enable-mysqlnd --enable-zip --with-zlib 3、导入SQL文件 h5.sql,会自动创建一个名为 h5 的数据库; 4、修改 /conf/application.ini 中的数据库配置项; db.h5.dsn = "mysql:host=192.168.3.222;port=3306;dbname=h5" db.h5.username = "root" db.h5.passwd = "123456" host = 数据库IP地址;port = 数据库端口;dbname = 数据库名 username = 数据库用户名 passwd = 数据库密码 5、打开网站后台:http://domain/admin/index/index 在网站设置中修改网站的根域名; 6、开始测试吧;
2021-12-25 18:06:17 73B H5游戏 联运系统 开发文档
可以将.net 类中的注释生产说明文档的工具,方便快捷高效!
2021-12-25 17:01:11 674KB .Net 类文档 说明
1
项目文档说明,包含项目介绍、目录、流程图,可供借鉴,不够完整还需改进,后期会有改进,希望能和大家共同进步。
2021-12-22 10:21:06 1.19MB 文档
1
硬件平台:正点原子探索者STM32F407开发板 软件平台:MDK5.13.固件库版本:V1.4.0 本文将介绍如何使用STM32F4来驱动触摸屏,ALIENTEK探索者STM32F4开发板本身并没有触摸屏控制器,但是它支持触摸屏,可以通过外接带触摸屏的LCD模块(比如ALIENTEK TFTLCD模块),来实现触摸屏控制。在本章中,我们将向大家介绍STM32控制ALIENTKE TFT LCD模块(包括电阻触摸与电容触摸),实现触摸屏驱动,最终实现一个手写板的功能。介绍部分包括硬件设计和软件设计两部分,具体说明详见文档说明。 电容触摸屏测试界面效果图如下: STM32F407开发板驱动触摸屏代码部分截图:
1
常用传感器模块光电模块气体传感器等30个模块资料合集包括软件DEMO源码+文档说明资料: MQ-2烟雾传感器模块.rar MQ-3酒精传感器模块.rar MQ-4甲烷、天然气传感器模块.rar MQ-5液化气传感器模块.rar MQ-6液化气传感器模块.rar MQ-7一氧化碳传感器模块.rar MQ-8氢气传感器模块.rar MQ-9一氧化碳传感器模块.rar TCS230颜色识别.rar TCS3200颜色传感器配套资料.rar 倾斜传感器.rar 光敏传感器.rar 光电传感器.rar 单红外反射式传感器.rar 双红外反射式红外巡线传感器.rar 声音传感器.rar 对射式传感器—计数传感器—宽.rar 对射计数传感器.rar 干簧管磁性开关传感器.rar 振动传感器.rar 湿敏传感器.rar 火焰检测传感器.rar 热敏传感器.rar 热释电模块.rar 电流传感器 电流检测 模块.rar 红外反射式光电开关传感器.rar 超声波测距资料.rar 雨水传感器.rar 霍尔传感器.rar 高灵敏声音传感器模块.rar
H5游戏联运系统完整源码,PHP开发含开发文档说明教程,完整的源码上线运营过,非常适合做H5游戏的联运平台!
Arduino 使用MPU6050作为陀螺仪的平衡车程序,内含文档说明,代码说明,代码
2021-12-07 02:02:16 174KB Arduino C语言 文档说明 MPU6050
1
MDAC的安装方法 读取数据库的软件无法运行时,系统会提示说需要安装MDAC(Microsoft Data Access Components),它是微软数据库访问组件。也就是说可能我电脑上MDAC可能损坏需要重新安装或需要升级了,因为上周还是可以用的。于是到微软官方重新下载最新的MDAC组件来安装,但总是安装失败。后面在一个国外的网站上才找到了解决的办法。下面和大家分享:XP SP3系统MDAC怎么装。 1、点击【开始】-【运行】,输入【 %windir%\inf 】,点【确定】,其实这就是打开【 C:\WINDOWS\inf 】目录,你也可以直接从【我的电脑】地址栏输入【C:\WINDOWS\inf】来打开; 2、找到【mdac.inf 】这个文件,右键选【安装】; 3、第三步是噩梦了,最重要也最麻烦。安装时你会发现你缺少很多系统文件,比如dao360.dll 、MDACRdMe.htm、mssoap1.dll 、wisc10.dll、mssoapr.dll。这里需要结合两种方法来解决。 其中有部分文件你可以选择【C:\Program Files\Common Files\System\msadc】或者【C:\Program Files\Common Files\System\ado】这两个目录去看看,这里有部分是能找到的。另外其它部分需要到网上去下载
2021-12-02 22:18:48 2.54MB MDAC 数据源 ODBC 数据源驱动
1
基于VHDL设计用PGA实现一款简易电子密码锁QUARTUS工程源码+文档说明 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity time_counter is port( clk:in std_logic; --50M时钟输入 reset_n:in std_logic; --复位信号输入 password1_in:in std_logic_vector(3 downto 0); -- password2_in:in std_logic_vector(3 downto 0); -- password3_in:in std_logic_vector(3 downto 0); -- password4_in:in std_logic_vector(3 downto 0); -- ok_signal_counter_in:in std_logic_vector(2 downto 0); seg_duan:out std_logic_vector(7 downto 0); --数码管段信号输出 seg_wei:out std_logic_vector(7 downto 0) --数码管位信号输出 ); end time_counter; architecture time_counter_behave of time_counter is signal clk_1hz: std_logic; signal count: std_logic_vector(24 downto 0); signal clk_scan: std_logic; signal seg_select: std_logic_vector(2 downto 0); signal scan_count: std_logic_vector(13 downto 0); begin -- //**************************************************************************************************** -- // 模块名称:50M时钟分频至1HZ模块 -- // 功能描述: -- //**************************************************************************************************** process(clk,reset_n) begin if(reset_n = '0')then clk_1hz <= '0'; count <= "0000000000000000000000000"; elsif(clk'event and clk = '1')then--上升沿触发 if(count = "1011111010111100001000000")then-- count <= "0000000000000000000000000"; clk_1hz <= not clk_1hz; else count <= count + '1'; end if; end if; end process; -- //**************************************************************************************************** -- // 模块名称:数码管扫描时钟产生模块 -- // 功能描述: -- //************************************************************************************
基于CYCLOEN FPGA设计的fir_dac数字滤波器quartus工程源码+文档说明 // ******************************************************************************* // 顶层文件模块 // *******************************************************************************/ module fir_dac( clk, reset_n, key_in, sclk, //TLC5615 sclk时钟脚 din, //TLC5615 din数据脚 cs //TLC5615 cs片选 ); input clk; input reset_n; input key_in; output sclk; output din; output cs; wire [9:0]data_line; wire [9:0]fir_data; wire [9:0]data_in; wire [9:0]fir_data_20; fir fir_top( .clk(clk), .reset_n(reset_n), .data_in(data_in), //谐波信号 .fir_data(fir_data), //8滤波之后的信号 .fir_data_20(fir_data_20)//21滤波之后的信号 ); TLC5615 tlc5615_top( .clk(clk),//内部时钟 .sclk(sclk),//TLC5615 sclk时钟脚 .din(din),//TLC5615 din数据脚 .cs(cs),//TLC5615 cs片选 .din_in(data_line));//十位数据输入 key key_top( .key_in(key_in), .data_out(data_line), .data1(fir_data), .data2(fir_data_20) ); endmodule