EDA 课程设计 一种十字路口的红路灯的智能控制系统的实现 包含停车计数模块,交通灯时长的控制和自动转换以及数码管显示和实验报告,用VHDL语言编写,quartusII
2021-07-02 15:52:59 2.82MB EDA 课程设计 十字路口红绿灯控制 VHDL
1
摘要:数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了MFSK调制解调的原理,并基于FPGA实现了MFSK调制电路,仿真结果表明了该设计的正确性。       关键词:MFSK;FPGA;调制;解调 数字信号传输系统分为基带传输系统和频带传输系统.频带传输系统也叫数字调制系统。数字调制信号又称为键控信号,数字调制过程中处理的是数字信号,而载波有振幅、频率和相位3个变量,且二进制的信号只有高低电平两个逻辑量1和0,所以调制的过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制
1
利用quartus18.0软件编译仿真的四位并行加法器,含测试文件,供学习电子设计自动化(eda)的新人参考
2021-07-02 09:34:20 7.29MB eda 四位并行加法器 quartus
1
EDA课程的课程设计,基于FPGA的EDA课程设计抢答器,有代码,以前拿过优
2021-07-02 09:32:15 157KB 课程设计
1
EDA课程设计,按照2018-2019年的HIT的数字逻辑电路设计要求。本设计使用FPGA实现,使用VHDL语言,代码可以在vivado上运行。实现了以下功能: 1)能够校验四组四位二进制密码; 2)用FPGA器件构建电路; 3)具有红绿指示灯状态提示、数码显示、LED灯密码位提示、步进电机转动及16*16点阵汉字显示功能。 这是一个转载资源,包含了 源代码+课程报告。
2021-07-01 15:31:02 1.64MB EDA 电子密码锁 VHDL语言 FPGA
1
EDA软件Quartus II的基本 操作方法。熟悉MSI组合逻辑电路和时序逻辑路的逻辑功能及使用方法 。掌握MSI组合逻辑器件和时序逻辑器件组成数字小系统的设计和测试方法。学会使用Quartus II设计、仿真和调试数字逻辑电路
1
1.开机能自动奏一个乐曲,并可以反复演奏; 2.速度可变。 .   1313 565- 6616 5--- .   6616 5531 2232 1---   3.附加:显示乐谱。
2021-07-01 10:52:25 246KB 自动奏乐器二
1
EDA 自动奏乐器的课程设计,实现自动音乐播放
2021-07-01 10:31:12 79KB EDA VHDL
1
EDA工程 hello FPGA library ieee; use ieee.std_logic_1164.all; entity ex7_part2_top is port (sw :in std_logic_vector(17 downto 0); hex0: out std_logic_vector(6 downto 0); hex1: out std_logic_vector(6 downto 0); hex2: out std_logic_vector(6 downto 0); hex3: out std_logic_vector(6 downto 0); hex4: out std_logic_vector(6 downto 0); hex5: out std_logic_vector(6 downto 0); hex6: out std_logic_vector(6 downto 0); hex7: out std_logic_vector(6 downto 0); ledr:out std_logic_vector(17 downto 0); clock_50:in std_logic); end;
2021-06-30 17:39:15 917B EDA
1
20210629-中信证券-计算机行业产业互联网专题:工业篇3,EDA,研究框架.pdf
2021-06-30 09:04:16 2.32MB 行业