DE1实践之VGA显示(8bit色彩),altera的DE1fpga开发板做的,普通液晶显示器,显示8条色带,有注释
2021-06-28 16:46:49 16KB verilog vag
1
vga的显示代码
2021-06-19 09:04:32 624KB fpga
1
这是一个基于FPGA的VGA显示黑白棋小游戏,采用VGA输出显示,PS2键盘(W、A、S、D、回车)输入控制,实现AI,LED灯指示是否游戏结束,VGA显示频率25MHz,系统频率50MHz,经过Cyclone IV芯片EP4CE115F29C7N的板级调试,实现全部功能,文件夹下有rtl源代码,管脚定义pin文件,和可以直接进行JTAG烧写和E2PROM烧写的pof和sof文件,具体说明文档详见http://www.openhw.org/lvlv2011/blog/11-11/235323_9a612.html
2021-06-18 20:19:48 45KB verilog
1
DE2-115例程,关于摄像头采集并实时显示在vga显示屏上。
2021-06-13 11:13:58 256KB DE2-11 vga显示
1
基于FPGA的PS2键盘接口设计与VGA显示控制
2021-05-30 10:28:29 1.14MB ps2
1
BASYS3 VGA显示字符
2021-05-28 18:01:40 56KB VGA FPGA BASYS3
1
VGA显示原理与VGA时序实现 VGA显示原理与VGA时序实现 VGA显示原理与VGA时序实现 VGA显示原理与VGA时序实现
2021-05-16 23:03:39 172KB VGA、显示原理、VGA、时序、实现
1
小白作
2021-05-13 09:03:43 452KB 电子信息工程
1
该文件提供基于zynq的Uart发送彩色图片数据至vga显示的相关源代码及转换文件,适合fpga图像处理方向的入门同学。
2021-05-10 16:15:59 25.74MB 串口 图像处理器 FPGA开发板 电路方案
1
FPGA控制VGA接口显示图像的原理简要说明,感觉对于一个只需大概了解原理进而编程序的人而言足够了。
2021-05-09 18:43:41 172KB VGA FPGA 显示 行频
1