QPSK在含有高斯白噪声的瑞利信道下的误码率仿真
2022-08-03 12:08:40 3KB QPSK QPSK误码率 bravebsu qpskrayleigh
利用MATLAB平台实现图片点对点收发,采用QPSK调制解调
2022-08-02 14:34:11 6KB matlab图像pluto通信原理
1
数字调制就是把数字基带信号的频谱搬移到高频处,形成适合在信道中传输的带通信号。基本的数字调制方式有振幅键控(ASK)、频移键控(FSK)、绝对相移键控(PSK)、相对(差分)相移键控(DPSK)。在接收端可以采用想干解调或非相干解调还原数字基带信号。
2022-08-01 07:10:56 87KB QPSK系统的误码率和星座图仿真
1
QPSK发射机接受随机二进制串行数据。 将流转换为 2 位并行(偶数和奇数) 根据调制需要将传入的单极转换为双极。 生成 QPSK 调制数据。
2022-07-21 19:41:01 10KB matlab
1
此程序实现了用matlab仿真qpsk的调制过程
2022-07-12 20:09:40 2KB matlab仿真 qpsk的调制
本程序用于完成BPSK、QPSK、pi/4QPSK、OQPSK、8PSK、16QAM、32QAM、64QAM和128QAM的调制仿真。并可任意扩展到MPSK和MQAM。程序分成四个部分,fir.m对基带码元序列进行脉冲成型,可选矩形脉冲,升余弦脉冲和平方根升余弦脉冲; modal.m 为主程序,完成岁各种信号的基带星座图映射、脉冲成型和调制;pi4QPSK.m 为pi/4QPSK信号的星座图映射程序;test1.m给出一个简单的频谱显示测试。
QPSK电路Proteus仿真电路
2022-07-06 15:01:02 499KB QPSK电路Proteus仿真电
分析了IEEE802.11a中QPSK信号的调制解调原理,给出了一种针对2个支路的FPGA解调的实现方案,并对解调方案进行了软件仿真,得到了正确结果。在此基础上,以EPF10K30AQC208-3芯片作为主芯片,完成了验证调制解调过程的硬件电路设计,并制作电路板硬件实现了该解调过程,经滤波器滤波后从其实部和虚部两路输出得到了正确结果。文中以FPGA为处理器,提出并验证了一种实现物理层中QPSK信号的2个支路解调的新方案。
2022-06-27 08:22:20 272KB 自然科学 论文
1
带有详细注释,实现基于QPSK的直接序列扩频通信系统
2022-06-22 09:52:14 4KB matlab实现QPSK扩频通信
1
本文主要对QPSK解调器原理进行了解析,下面一起来学习一下
2022-06-18 21:26:59 49KB QPSK 解调器 工作原理 文章
1