C语言编写的调用ACLLib函数库单人版贪吃蛇小游戏,运用MVC设计模式(三部分Model,View, Control),代码可重用,修改为双人版甚至多人版,附有有设计思路文档,代码注释。
2021-12-31 23:30:07 1.59MB ACLLib 贪吃蛇小游戏 MVC设计模式
1
基于FPGA设计的贪吃蛇小游戏实验课程设计报告+quartus9.1工程源码,可以做为你的学习设计参考。 摘 要 本次电子技术基础课程设计了贪吃蛇游戏,实现了用FPGA来驱动VGA显示贪吃蛇游戏。贪吃蛇的游戏规则是玩家使用PS2键盘操控一条蛇上下左右移动不断吞下苹果,吃下一个苹果蛇身增长一节,当蛇头撞到蛇身或障壁时游戏结束。本课程设计采用Verilog HDL语言编写,涉及到VGA显示,PS2键盘操控,状态机等相关知识。 关键词:FPGA;VGA;PS2;贪吃蛇;Verilog HDL 2.1 游戏设计内容及要求 基本要求: ① 利用FPGA开发板、VGA显示器、PS2键盘实现贪吃蛇游戏。 ② 一条蛇可以看成由许多正方形的“小格子”拼凑成,称作节。节是蛇身上最小的单位。蛇的初始长度确定。 ③ 蛇的初始位置及方向由FPGA开发板随机生成,每次游戏预送100分。 ④ 用户使用开发板键盘及PS2键盘可控制蛇头的方向及速度。蛇在屏幕中运动每安全度过1秒加1分,静止状态每过1秒减1分,当游戏达到200分时自动进入游戏下一关,当游戏分值自动减为0时游戏失败终止。 ⑤ 当贪吃蛇触壁则失败。 ⑥ 实现游戏蛇身变换及游戏积分的自动动态显示。 2.2 系统分析 贪吃蛇是经典小游戏,本设计采用VGA显示的方式将游戏展现出来。游戏中玩家通过四个按键控制蛇的身体上下左右移动来吃屏幕中出现的苹果,苹果是随机出现的。当蛇吃的一个苹果时,蛇身体变长一个单位同时会有另一个苹果出现。如果蛇头撞墙或者撞到自己身体,则游戏失败。 所要设计的贪吃蛇游戏基于Cyclone III系列EP3C5E114C8N的FPGA芯片为硬件,采用Verilog HDL语言编写程序。在本设计中,有个8按键,分别是上、下、左、右、复位和游戏开始,暂停与继续按键。它们都是输入信号,输出是VGA,在电脑屏幕实现VGA显示字符,游戏画面 第3章 贪吃蛇游戏设计 3.1 VGA显示模块设计 显示器扫描方式分为逐行扫描和隔行扫描:逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。   完成一行扫描的时间称为水平扫描时间,其倒数称为行频率;完成一帧(整屏)扫描的时间称为垂直扫描时间,其倒数称为场频率,即刷新一屏的频率,常见的有60Hz,75Hz等等。标准的VGA显示的场频60Hz,行频31.5KHz。 行场消隐信号:是针对老式显像管的成像扫描电路而
python地牢贪吃蛇 —Roguelike贪吃蛇游戏游戏源码下载 游戏中您不但可以挑战不同关卡, 还能够获得不同增强, 过五关斩六将, 打破高分记录, 成为最强贪吃蛇! 游戏玩法 控制蛇吃食物, 吃到一定数量的食物或者满足其他条件则可进入下一关 操作 移动 和普通贪吃蛇一样, 蛇会自动行走 转向 上下左右按键可以调换蛇的方向 冲刺 如果按的方向键是蛇当前的移动方向, 并且体力值不为0, 那么蛇会立即向前一格, 并且消耗一点体力值 冲刺操作不影响(被动的)移动
2021-12-29 16:07:15 184.08MB python地牢贪吃蛇—Rog
用python语言编写编写一个属于自己的贪吃蛇小游戏,这是源码,亲测有效
2021-12-29 11:11:12 6KB 贪吃蛇 python
1
C++命令行版贪吃蛇小游戏,适合学生、C/C++初学者学习使用。
2021-12-27 19:04:44 7KB c++ C 贪吃蛇 小游戏
使用Win32 api 实现贪吃蛇小游戏,可以记录设大小,实现死亡,重生等功能源码。
2021-12-27 18:16:22 1003KB C语言 Win32 API 贪吃蛇
1
用汇编语言编写的一个贪吃蛇小游戏,没有关卡,吃一个食物得10分,代码有注释
2021-12-24 14:46:56 13KB 汇编 贪吃蛇 小游戏
1
利用Java GUI编程方法开发的一个贪吃蛇小游戏,适合初学者参考学习。
2021-12-22 11:08:01 32KB Java GUI 贪吃蛇 面向对象
1
C++贪吃蛇
2021-12-21 22:02:56 17.2MB 贪吃蛇 C++ 游戏
1
可实现速度选择,蛇撞到自身和撞到墙则死亡。
2021-12-20 20:03:26 2.12MB Java
1