整理的一些模拟器件的ad库,近几年做电源用的 比较多。
2021-12-13 17:20:03 1.57MB pcb ad
1
TI 77GHz 毫米波传感器自动泊车系统官方参考设计原理图+说明文档资料
锐能微单相计量芯片RN8209C硬件 Protel参考设计原理图+PCB+相关技术文档资料: 8213+8209C V1.1.pdf 8213+8209C V1.1.sch 8213+8209C V1.4.1-1.pdf 8213+8209C V1.4.1-2.pdf 8213+8209C V1.4.1-3.pdf 8213+8209C V1.4.1.pcb IOtoUART_code_V1.0.zip RN8207G(C版)用户手册_v1.5.pdf RN8208G(8000:1)用户手册_v2.0.pdf RN8209各版本说明 - v3.pdf 单片机IO模拟串口UART程序应用笔记V1.0.pdf 单相计量芯片RN8207C用户手册_v1_3.pdf 单相计量芯片RN8209C、RN8209D用户手册_v1_6.pdf 单相计量芯片RN8209G(C版)用户手册_v3_0.pdf 锐能微单相计量芯片RN8209C硬件 Protel参考设计原理图+PCB+相关技术文档资料.zip 锐能微单相计量芯片直流测量应用笔记_v1_0.pdf 锐能微电能计量产品选型指南v1.2.pdf 锐能微第三代单相计量芯片应用笔记v1.3.pdf
水质检测TDS传感器双通道芯片BAT2U技术资料参考设计原理图: 用于水质总溶解性固体(TDS)和水温检测的专用芯片,内部集成高精密振荡电路、模数转换电路和浮点运算单元。采用专利电导率-TDS 转换算法和温度校正算法,快速实现水质 TDS 的检测,并在较宽的温度范围内实现自动温度校正,降低 TDS 值随温度变化带来的测量误差。非常适合于纯水机、反渗透净水机、纳滤净水机等对进出水水质的精准检测。
锐能微单相计量芯片RN8209D硬件 Protel参考设计原理图+PCB+相关技术文档资料 8213+8209D V1.0.0.pcb 8213+8209D V1.0.0.pcbPreview 8213+8209D V1.0.1.sch 8213+8209D V1.0.1.schPreview IOtoUART_code_V1.0.zip RN8207G(C版)用户手册_v1.5.pdf RN8208G(8000:1)用户手册_v2.0.pdf RN8209D参考PCB V1.0.0.pdf RN8209D参考原理图 V1.0.1.pdf RN8209各版本说明 - v3.pdf 单片机IO模拟串口UART程序应用笔记V1.0.pdf 单相计量芯片RN8207C用户手册_v1_3.pdf 单相计量芯片RN8209C、RN8209D用户手册_v1_6.pdf 单相计量芯片RN8209G(C版)用户手册_v3_0.pdf 锐能微单相计量芯片直流测量应用笔记_v1_0.pdf 锐能微电能计量产品选型指南v1.2.pdf 锐能微第三代单相计量芯片应用笔记v1.3.pdf 收起
BGA芯片封装大全(0.4 0.5 0.65 0.75 0.8 1.27 1.5mm间距)AD封装库: BGA (1.0mm Pitch, Square6).PcbLib BGA (1.27mm Pitch, Square1).PcbLib BGA (1.27mm Pitch, Square2).PcbLib BGA (1.27mm Pitch, Square3).PcbLib BGA (1.27mm Pitch, Square4).PcbLib BGA (1.27mm Pitch, Square5).PcbLib BGA (1.5mm Pitch, Square1).PcbLib BGA (1.5mm Pitch, Square2).PcbLib BGA (1.5mm Pitch, Square3).PcbLib BGA (1.5mm Pitch, Square4).PcbLib BGA_Rect.PcbLib BGA_Sq_100P.PcbLib BGA_Sq_127P.PcbLib BGA_Sq_150P.PcbLib BGA_Sq_40P.PcbLib BGA_Sq_50P.PcbLib BGA_Sq_65P.PcbLib BGA_Sq_75P.PcbLib BGA_Sq_80P.PcbLib
常用芯片AD封装大全(BGA CQFP DIP QFN QFP TSSOP SOP SOT等系列芯片封装): BGA_Rect.PcbLib BGA_Sq_100P.PcbLib BGA_Sq_127P.PcbLib BGA_Sq_150P.PcbLib BGA_Sq_40P.PcbLib BGA_Sq_50P.PcbLib BGA_Sq_65P.PcbLib BGA_Sq_75P.PcbLib BGA_Sq_80P.PcbLib CASE-B.PcbLib CASE-C.PcbLib CASE-D.PcbLib CASE-E.PcbLib CCGA - Square.PcbLib Ceramic DFP.PcbLib Ceramic QFP (Square).PcbLib CGA_Sq_100P.PcbLib CGA_Sq_127P.PcbLib CORTEX_DBG.PcbLib CQFP_Sq_127P_Side_L.PcbLib CQFP_Sq_127P_Side_M.PcbLib CQFP_Sq_127P_Side_N.PcbLib CQFP_Sq_50P_Side_L.PcbLib CQFP_Sq_50P_Side_M.PcbLib CQFP_Sq_50P_Side_N.PcbLib CQFP_Sq_63P_Ctr_L.PcbLib CQFP_Sq_63P_Ctr_M.PcbLib CQFP_Sq_63P_Ctr_N.PcbLib CQFP_Sq_63P_Side_L.PcbLib CQFP_Sq_63P_Side_M.PcbLib CQFP_Sq_63P_Side_N.PcbLib CQFP_Sq_65P_Side_L.PcbLib CQFP_Sq_65P_Side_M.PcbLib CQFP_Sq_65P_Side_N.PcbLib CQFP_Sq_80P_Side_L.PcbLib CQFP_Sq_80P_Side_M.PcbLib CQFP_Sq_80P_Side_N.PcbLib CR2032_SMD.PcbLib Crystal Oscillator.PcbLib Cylinder with Flat Index.PcbLib D-PAK.PcbLib DFLS.PcbLib Diamond Base CAN - 2 Leads.PcbLib Diamond Base CAN - 3+ Leads.PcbLib DIMM168_90.PcbLib DIP - LED Display.PcbLib DIP - Peg Leads.PcbLib DIP, Modified - Trimmed Leads.PcbLib DIP, Shrink - Stub Leads.PcbLib DIP-16.PcbLib DIP28.PCBLIB DIP50.PcbLib DIP80.PcbLib DO-214AA.PcbLib DO-214AB.PcbLib DO-214AC.PcbLib DPAK_L.PcbLib DPAK_M.PcbLib DPAK_N.PcbLib DR127.PcbLib DR73.PcbLib DSUB15-F180.PcbLib DSUB15-F90.PcbLib DSUB15-M180.PcbLib DSUB15-M90.PcbLib DSUB25-F180.PcbLib DSUB25-F90.PcbLib DSUB25-M180.PcbLib DSUB25-M90.PcbLib DSUB9-F-F.PcbLib DSUB9-F180.PcbLib DSUB9-F90.PcbLib DSUB9-M-M.PcbLib DSUB9-M180.PcbLib DSUB9-M90.PcbLib Dual-In-Line Package.PcbLib ELKO10.PcbLib ELKO13_THT.PcbLib ELKO13_THT_90.PcbLib ELKO8.PcbLib EPC144.PcbLib EQFP144.PcbLib eTSSOP-08.PcbLib eTSSOP-14.PcbLib eTSSOP-16.PcbLib eTSSOP-20.PcbLib eTSSOP-28.PcbLib FBGA484.PcbLib FID.PcbLib Flange Mount with Rectangular Base.PcbLib FOIL_SMD.PcbLib FQFP (0.3mm Pitch, Square) - Corner Index.PcbLib FQFP (0.4mm Pitch, Square) - Corner Index.PcbLib FQFP (0.5mm Pitch,
全志 A64 全套软硬件开发资料技术文档资料参考设计原理图PCB等资料合集,可以做为你的学习设计参考: a64 3G Dongle Support List.pdf A64 brief v1.0 20150323.pdf A64 Camera模块开发说明文档.pdf A64 Camera自适应使用说明书_V1.10.pdf A64 dev tree&sysconfig使用文档.pdf A64 DragonBoard使用说明书.pdf A64 IIC设备驱动开发说明文档.pdf A64 input驱动自适应使用书.pdf A64 LCD使用说明书.pdf A64 pinctrl驱动使用说明文档.pdf A64 Standby开发调试说明文档.pdf A64 UART驱动使用说明文档.pdf A64 WiFi&BT&GPS支持列表_V1.00.pdf A64 WiFi-BT-GPS配置说明书.pdf A64-CTP支持列表.pdf A64_AccMagGyro_sensor支持列表_V1.01.pdf A64_Android_L快速移植指南.pdf A64_Camera支持列表_V1.00.pdf A64_Datasheet_V1.1.pdf A64_lichee使用手册.pdf A64_System Configuration说明书.pdf A64_Tablet SDK Quick Start Guide.pdf A64多媒体支持列表.pdf Allwinner Axx SDRAM Support List-V1.08_20150611.pdf Allwinner eMMC-tSD-fSD support list_20150612.pdf Allwinner NAND flash support list_v1.71_20150615(1).pdf Allwinner_A64_User_Manual_V1.1.pdf AW_1689_DEVICE_TREE&SYS_CONFIG配置规范.pdf AXP803_Datasheet_V1.0.pdf SDK开发包说明文档 硬件发布资料包
包含各种元器件、接口、芯片的各类封装和3D模型。 可以满足基本使用。 配有详细的使用说明,亲测好用,对小白非常友好。 以网盘的形式分享给大家。
2021-12-03 11:55:07 57KB AD 封装库 3D封装 AD封装库
1
一套非常全的AD 3D封装库,几乎涵盖全部常用器件。当然没有那种自己定制的变压器之类的东西。封装包括,全部电阻、电容、电感,74系列、FPC,HT3.96,KF2GDK,KF128,KF301,KF350,KF2580,KF7620,KFH7620KFHB9500,LCD,LED,MOS管,MX,PH,PHB,PHD,SD CARD,STC系列,STM系列,USB,XH,保险丝,拨码开关,传感器,串口,电源插座,电源开关,电源芯片,二极管,蜂鸣器,固定柱,光电隔离器,继电器,牛角座,排针排母,轻触开关,三极管,数码管,天线座,通信Ic,网络插座,音频插座,整流桥及常用芯片封装。
2021-12-02 22:33:22 289.9MB 3D封装库 AD封装库 封装库 3D
1