这个程序是用1602显示从9999倒计时到0.如果是别的数字倒计时只需改动程序中的数字即可。
2021-05-12 21:46:29 4KB c语言
1
单片机学习资料 单片机C51例程-按键计数器 独立键盘操作+1602显示
2021-05-12 20:15:03 113KB 单片机
1
24进制计数器数码管显示用VHDl编写
2021-05-12 18:43:13 53KB EDA课程设计
1
这是数字逻辑实验中要求用VHDL语言设计十九进制计数器的源代码,欢迎大家下载
2021-05-11 21:17:23 2KB VHDL 十九进制计数器
1
十进制计数器 硬件描述语言 VHDL Quartus 2
2021-05-10 14:30:19 226KB VDHL 计数器
1
:计数器对输入脉冲进行计数,来一CP个脉冲,计数器状态变化一次。根据计数器循环长度M称之为M模计数器(M进制计数器),计数器状态编码,按二进制的递增或递减规律来编码,对应称加法计数器,减法计数器。
2021-05-10 00:38:03 169KB 数电 60进制计数器
1
60进制计数器的multisim14仿真,请用multisim14打开,低版本不支持
2021-05-10 00:31:40 244KB multisim14 60进制计数器 仿真
1
包含全部程序以及一份大作业报告
2021-05-09 21:02:33 264KB 微机原理
1
quartusII平台下用vhdl编写的k计数器式滤波器,用于数字锁相环中,充当环路滤波器的作用,可与其他模块组合使用
2021-05-09 18:53:24 2KB vhdl
1
自己做的微处理器课设,题目是光电计数器,资源内包含KEIL源文件,程序代码,设计报告,程序经过实际测试,保证好用。
1