根据全国大学生电子设计竞赛题目《简易数字频率计》的要求设计,测量范围0-1Mhz ,测量精度满足题目中要求, 所用开发板为 xilinx spartan 3ES。具体设计过程可以参考博客 。http://blog.csdn.net/li200503028
2019-12-21 20:07:30 1.31MB 频率计 FPGA verilog
1
采用verilog HDL编写,高精度频率计,精度小于0.5%,测量范围1Hz~1MHz,采用LCD1602显示,代码带有完整注解。
2019-12-21 20:05:12 2.73MB FPGAverilog频率计LCD1602
1
本实验要求设计一个简易的频率计,实现对标准的方波信号进行频率测量,并把测量的结果送到 8 位的数码管显示,所要求测量范围是1Hz~99999999Hz。整个设计的基本原理就是对1 秒钟之内输 入的方波进行计数,把所得数据保存在计数器里,经过译码器处理之后,然后送往数码管显示。这里 采用的方案是在采样时钟的上升沿开始计数,然后在下一个上升沿把计数器里的数据送往数码管,并 且把计数器清零,让其重新计数。整个方案的实现主要分为四个模块:时钟分频(clk_div)模块、计数 器模块(counter)、译码器模块(seg8)、扫描输出(saomiao)模块。
2019-12-21 20:04:45 621KB fpga实现的频率计
1
(1)提高测量频率范围,如10Hz~100KHz或更高、更低频率,提高频率的测量绝对值误差,如达到±1Hz。 (2)可以设置量程分档显示,如X1档(显示范围1Hz~9999Hz),X10档(显示范围0.001KHz~9.999KHz),X100档(显示范围0.100KHz~999.9KHz)...可以自定义各档位的范围。量程选择通过程序自动选择量程。 (3)测量响应时间小于等于10秒,将测量出的频率以十进制格式在实验板上的4个数码管上显示。 。 (4)若是方波能够测量方波的占空比,并通过数码管显示。
2019-12-21 20:03:03 15.12MB VHDL频率计
1
proteus 51单片机编写的6位数显频率计数器
2019-12-21 20:01:11 247KB Proteus 仿真 单片机 频率计
1
基于FPGA的数字频率计设计与仿真,设计了一个简单的数字频率计,对于初学者有一定的帮助
2019-12-21 20:00:37 159KB FPGA、数字频率计
1
基于stm32实现频率计的几种方法,输入捕获法,定时器中断法,系统时钟+外部中断法。
2019-12-21 19:58:16 5.22MB stm32
1
频率计设计1 设计要求 一、基本部分: 1.1被测信号波形为三角波,正弦波,矩形波。 1.2 被测信号幅度≥100mv。 1.3 被测信号频率位40Hz~1MHz 1.4 用4位数码管显示字符。 1.5 测量误差≤0.5%。 二、发择部分:
2019-12-21 19:56:04 2.61MB 频率计 设计
1
(1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。 b.测量结果直接用十进制数值显示。 c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。 d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 e.当测量脉冲信号时,能显示其占空比(精度误差不大于1%) a.实现自动切换量程。 b.构思方案,使整形时,跳变阈值自动进行调节,以实现扩宽被测信号的幅值范围。
2019-12-21 19:54:57 569KB 自动切换量程测频率,测占空比
1
51单片机数码管显示0-9999Hz频率计
1