商用自动售货机行业调研
2022-02-16 19:03:27 323KB 行业分析
1
2022-2028全球与中国投币自动售货机市场现状及未来发展趋势.docx
2022-01-17 22:02:31 28KB 其他
1
近年来,随着集成电路技术的迅猛发展,特别是可编程逻辑器件的高速发展, EDA(Electronic Design Automation,电子设计自动化)技术成为电子设计工程师的新宠。EDA技术以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,最后得到设计结果,并且修改设计方案如同修改软件一样方便。利用EDA工具可以极大地提高设计效率。.
2022-01-13 15:58:58 291KB FPGA
1
使用Java的自动贩卖机 自动机项目 UI的积分:Jana
2022-01-06 23:52:31 1.72MB Java
1
用vhdl设计的状态机实例,有限状态机FSM(Finite State Machine)及其设计技术是实用数字系统设计中实现高效率、高可靠逻辑控制的重要途径。自动售货机就是其中一个典型实例。
2022-01-03 23:06:19 13KB 自动售货机 状态机
1
一段三菱PLC梯形图 初学者可以看看哦 自动售货机 下载免费
2022-01-03 16:09:27 11KB PLC程序 三菱PLC
1
饮料自动售货机系统对象图 贩卖机 饮料号码 价格 投币-接受 饮料掉出 金额显示 按纽 退币杆 售完显示 存量计算器 饮料号码 存量 递减 售完显示 重置 选择钮 选择钮状态 灯亮 灯熄 售完灯亮 按钮 顾客 姓名 硬币 投币-置入 拿取饮料 退币杆 退币杆状态 拉动 金额计算器 金额 累加 找零 重置 购买 选取 被拉动 属于 属于 属于 属于
2021-12-31 10:53:57 1.56MB UML
1
基于FPGA实现投币式自动售货机功能 使用FPGA的原理图来实现,是基于Xinlinx公司的EP1C3T144C8,已验证成功
2021-12-29 21:14:00 314KB FPGA 投币式售货机
1
从总体上介绍了自动售货机主控制系统的组成和功能,讨论了自动售货机工作原理,详细阐述了主控制系统FPGA的软、硬件设计及其主要控制模块的仿真实现,并编写了系统程序总框图。实践验证,系统能够在各种条件下持续、平稳、可靠地工作。
2021-12-29 20:57:46 271KB FPGA
1
十分详细的设计总结报告,含有源代码,可以运行,记住要改第一个module的名称。
2021-12-27 18:37:06 133KB 自动售货机 代码 Verilog hdl
1