: 桶式移位寄存器是 C P U 中常用的一个部件, 在集成电路设计中通常用晶体管实现。随着F P G A的性能的提 高和推广, 许 多用户开始用 F P G A实现微处理器的功能, 其中桶式移位寄存器的设计对系统的性能有较大的影响。 本文提 出了三种桶式3 2移位寄存器的设计和实现方法, 给出了实现结果。
2021-11-23 19:36:13 80KB FPGA 移位寄存器 设计方案
1
本文主要讲了一下关于八位移位寄存器vhdl程序设计,希望对你的学习有所帮助。
2021-11-21 14:58:57 42KB 寄存器 VHDL 程序设计 文章
1
计算机组成原理上机报告,Verilog语言实现8位移位寄存器,并且仿真波形。实验环境为Quartus II,编程语言Verilog,文档排版使用LaTeX,内附LaTeX源文件,可修改。
2021-11-14 18:49:18 3.1MB Verilog FPGA
1
基于fpga的32位桶式移位寄存器实现,参考文档《三十位桶式移位寄存器的FPGA实现--杜慧敏》
2021-11-14 17:30:17 214KB FPGA SHIFT_REG
1
CD4015BC CMOS 双4位串入-并出移位寄存器
2021-10-05 22:36:51 46KB CD4015BC cmos 4位 串入
1
线性反馈移位寄存器(LFSR) 如果反馈函数 f(x1, x2,…,xn)是n个变量的线性函数: f(x1, x2,…,xn) =c1xn+c2xn-1+…+cnx1 (ci{0,1}) 则称为线性反馈移位寄存器(LFSR: linear feedback shift register). 输出的序列称为线性反馈移位寄存器序列, 记为LFSR序列。 LFSR序列a= (a0, a1,…,an-1,…) 满足递推关系式: an1 … a1 a0 cn cn-1 c1
2021-09-17 19:53:07 1.38MB 流密码
1
LFSR线性反馈移位寄存器,简单易懂,用于矩阵课的LFSR学习
2021-09-17 19:41:58 537KB LFSR
1
线性反馈移位寄存器,介绍了移位寄存器在除法器,编码器,解码器中的使用!
2021-09-10 11:04:59 199KB 线性反馈移位寄存器
1
行业-电子政务-一种移位寄存器单元及其驱动方法、栅极驱动电路.zip
适用于Arduino的TLC5926 一个知道如何与TLC5926 / TLC5927(16位移位寄存器)对话的库。 支持“慢速”(digitalWrite / shiftOut)模式(非SPI)。 全局亮度功能使用PWM,因此不会阻塞(需要iOE引脚)。 知道/ OE是反向的。 应该适用于TLC5916 / TLC5917:将“链接在一起的数字”分成两半。 并且,模式是2个移位寄存器宽。 可以获取诊断模式信息(短路/断开/过热)。 可以设置电流增益值。 支持2-4条信号线(带有适当的“下拉”电阻器): 最小/ 2线-SDI + CLK 最小控制线 假设LE-> CLK,和/ OE-> GND 容易闪烁-数据在移动时可见 没有桥接控制(滚动自己的阻止pwm) 无闪烁-SDI + CLK + LE 移位期间无闪烁-直到图案结束才显示数据 假设/ OE-> GND
2021-09-02 14:35:10 38KB C++
1