eda课程设计基于VHDL的拔河游戏机的设计 eda课程设计基于VHDL的拔河游戏机的设计
2019-12-21 22:22:28 unknown eda
1
15个(或13、11、9个均可)发光二极管排成一列,作为拔河的显示。开机后.仅中间一个发光(亮点),以此作为拔河中心线。游戏双方各用一个按键K1、K2,迅速不断地按动产生脉冲,谁按得快,亮点向谁的方向逐个移动。当亮点移到任一方的终端时,该方获胜,双方按键无作用,亮点位置保持。按K3后,亮点回到中心线,可以进行第二次比赛。 用两个数码显示器分别显示双方获胜的盘数,按K4可以清零。
1
要求: (1)、设计一个模拟拔河游戏比赛的逻辑电路。 (2)、电路使用7个(或9个)发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 (3)、比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 (4)、亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 (5)、用七段数码管显示双方的获胜盘数。
2019-12-21 22:20:11 668KB 拔河 游戏 报告
1
设计一个能进行拔河游戏的电路。 2、电路使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、用数码管显示获胜者的盘数。
2019-12-21 22:19:47 205KB 拔河游戏机设计电路框图
1
很好的quartus ii工程,包括拔河游戏机一切的功能
2019-12-21 22:17:27 485KB fpga vhdl 拔河游戏机
1
(1)拔河游戏机需要11个发光二极管排成一行,开机 后只有中间一个亮点,作为拔河的中间线。游戏双方 各持一个按键,迅速且不断地按动产生脉冲,哪方按 得快,亮点就向哪方移动,每按一次,亮点移动一次。 移到任一方二极管的终端,该方就获胜。此时双方按 键均无作用,输出保持,只有经复位后才能使亮点恢 复到中心线。 (2)显示器显示胜者胜利的次数,裁判按键可以控制 开始和清零。
2019-12-21 21:19:42 3.64MB FPGA Verilog 拔河游戏
1
1. 游戏分为甲方和乙方,用按键速度来模拟双方力量以点亮的发光二极管的左右移动来显示双方的比赛状况。 2. 用15个(或9个)发光二极管组成一排,比赛开始时,中间二极管点亮。以此为拔河的中心点,甲乙双方各持一键,比赛开始后,各自迅速不断地按动按键,以此产生脉冲,谁按得快,亮点就向该方移动(甲为左),当任何一方的终端点亮时,该方胜利,此时,二级管的状态保持,双方按键无效,复位后亮点移至中间,开始下轮比赛。
2019-12-21 21:03:40 119KB 数字逻辑 课程设计 拔河
1
设计拔河游戏机用9个发光二极管排成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁的方向移动,每按一次,亮点移动一次。移到任一方终端二极管点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。用译码器、可逆计数器、十进制计数器、与门、异或门等组成电路。
2019-12-21 20:56:21 228KB ms10
1
1、设计一个能进行拔河游戏的电路。 2、电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按十次,亮点移动一次。 4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、用数码管显示双方按键的次数。
2019-12-21 20:22:29 73KB 拔河游戏课程设计
1
Multisim做的“拔河游戏机”仿真电路,可以用于课程设计和毕业设计。
2019-12-21 20:22:13 248KB Multisim
1