用verilog HDL编写的一个具有“百分秒、秒、分”计时功能的数字跑表,可以实现一个小时以内精确至百分之一秒的计时,注释比较清晰,容易看懂,还可以增加小时的计时功能
2019-12-21 19:32:26 2KB 计时器fpga
1
里面有,引言,仿真图,总设计图,有24秒倒计时和12分钟时间计时,还有与原理介绍和各个元件的特点和引脚图,有逻辑图使用74LS192和74LS48做的,有详细的Multisim截图
2019-12-21 19:28:31 1.25MB 数字电子电路课设
1
C语言+easyx库实现图形化扫雷游戏,附带排行榜和计时器,支持自定义难度与预设的三个难度,附带全部图像资源,注解详细,解压即可使用,欢迎初学者下载
1
多功能数字时钟设计报告 用555定时器 160计时器 仿真图这是我的课程设计报告。可作为参考 含有Multisim仿真图。不过是复制到Word文档里去拉
1