此为Quartus软件环境下设计四人表决电路的电路逻辑图和仿真波形图
2021-10-12 16:51:38 281KB 表决器
1
五人表决器在ISPLEVER上的实现,用ABEL语言实现,附有相信的报告格式以及仿真波形
2021-10-07 11:33:56 97KB 表决器
1
本课程设计主要利用PLC来完成一个十人投票机,能够对十人投票表决结果进行判断,并通过不同的LED数码管进行显示。该设计的硬件电路主要由三个部分组成:PLC模块、输入按钮开关及输出发光二级管。信号处理和转换由PLC模块来完成,其负责把按钮开关传送来的信号经一定的处理,并发出相应的输出信号。按钮开关进行输入,包括十个投票端、一个总开关和一个复位按钮。四个发光二极管包括一个电源显示和三个结果显示,不同结果对应亮不同发光二级管。
2021-09-16 08:51:49 461KB plc 十人表决器 课设
1
设计一个四人多数表决器电路:当有3人或3人以上同意,表决结果F=1,否则F=0。
2021-09-15 10:09:18 224KB ise
1
基于FPGA\CPLD的多人投票表决,请自行分配引脚测试
2021-07-16 09:07:22 508KB PFGA 多人投票表决器
1
文本打开.v文件,复制到工程中测试
2021-07-15 21:04:02 628B Verilog 投票表决 FPGA
1
三人表决器 vhdl程序 quartus仿真
2021-07-06 19:59:37 156KB 三人表决器 vhdl quartus
1
这是一个multisim画的三人表决电路,学习数字电路的时候老师叫我们写的作业,有需要的小伙伴可以下载呀
2021-07-06 19:53:25 90KB 三人表决电路
1
随着社会的飞速发展,科学技术的应用已经渗入到社会的各个领域。目前,各领域的激烈竞争迫使人们不得不对办事效率格外重视,同时,这也是方便、高效的社会发展趋势之必需。会议表决方面亦是如此。表决器所具有的功能使它成为这方面当之无愧的选择。本设计正是关于多数表决器的设计,用于各种场合的投票选举。
1
51单片机220V转5V供电16人抢答器表决器原理图和PCB
2021-07-02 09:04:01 540KB 51单片机 220V转5V 原理图和PCB 抢答器