拔河游戏机需用15个(或9个)发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 显示器显示胜者的盘数。
2021-06-10 12:17:36 109KB 数字电路 拔河游戏机 设计 模电设计
1
含有protues图和程序(全注释,连变量都注释) 要求: 1、电路使用7个发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。游戏甲乙双方各持一个按钮,迅       速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。亮点移到任一方终端二极管,这一方         就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 2、由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。 3、用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。
1
拔河游戏机用9个灯泡指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,不断地按,亮点不断地移动。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。
2021-06-09 22:16:20 1.01MB 拔河游戏机
1
用VHDL语言编写程序,实现程序可下载到电路板中,实现拔河游戏,三局两胜制
2021-06-07 17:17:16 703KB VHDL拔河游戏机
1
有力度显示、音乐播放、倒计时、心跳动画等功能的vhdl拔河程序
2021-06-01 00:34:32 566KB vhdl 数电实验
1
数电拔河游戏课程设计,multisim仿真设计,课程设计资料
2021-05-25 22:48:50 311KB 数电
1
Arduino拔河游戏机程序,所谓拔河游戏机是,玩家1和玩家2各有5颗led和一个按钮,开始后谁先全部点亮按钮谁就赢。
2021-04-24 11:02:25 2KB arduino 单片机 嵌入式
1
基于数字电路的拔河游戏机,两个按钮快速拨动,那边的频率越高,数码管就往那一边移动,4011,4081,CD40193,4514,4518,4511。
2021-04-05 19:19:01 22KB 硬件
1
EDA课程设计,拔河游戏机设计论文。。。。。。。
2020-01-03 11:23:24 733KB EDA
1
用VHDL编写的拔河游戏控制程序,可用拨码和LED来演示其过程
2019-12-21 22:25:56 428KB VHDL
1