利用《微型计算机技术》课程中所学的主要可编程接口芯片8251、8253、8255A和微机内部的中断控制器8259设计一个交通信号灯系统。
2022-06-07 22:50:44 149KB 交通灯 单片机
1
基于数字电路的交通灯设计说明.doc
2022-06-01 09:00:54 1.69MB 互联网
若无法正常使用可私我补发 设计要求 交通信号灯有三种工作模式,分别是正常模式、禁行模式、设置模式。 (1)正常模式下,以下四种状态循环 A:东西绿灯亮X秒,最后3秒绿灯闪烁;南北红灯亮X+3秒。 B:东西黄灯亮3秒,南北红灯亮剩余时间。 C:东西红灯Y+3秒;南北绿灯亮Y秒,最后3秒绿灯闪烁。 D:东西红灯亮剩余时间,南北黄灯亮3秒。 之后转为状态A,构成循环。期间数码管一直显示红黄绿灯所剩时间数。 (2)禁行模式 按下对应的禁行按键,可以进行南北禁行、东西禁行、全部禁行。期间数码管全部显示00。禁行方向红灯亮绿灯灭,通行方向红灯灭绿灯亮。 (3)
2022-05-31 08:31:46 39KB C51 二极管 数码管
1
vhdl初学者可以参考下 1.编写交通灯信号控制器的VHDL描述程序。 2.在maxplusⅡ或者QuartusⅡ上对编码器进行编辑、编译、综合、适配、仿真。给出功能和时序仿真波形。 3.将输入引脚连接到拨码开关,输出连接到发光二极管,下载后在实验板上验证其功能,记录实验结果。
2022-05-30 22:38:36 879KB 交通灯设计
1
十字路口交通灯的设计
2022-05-28 23:59:38 176KB 交通灯设计
1
单片机控制的交通灯设计c语言版+Proteus仿真原理图 毕业设计
2022-05-16 09:49:19 160KB 单片机控制 交通灯设计
1
本设计选用了目前应用较为广泛的Verilog HDL硬件描述语言,实现对路口交通灯系统的控制器的硬件电路描述。这种硬件电路描述在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译,仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。
1
EDA课程设计之交通灯设计报告
2022-05-04 19:04:53 3.97MB EDA课程设计之交通灯设计报告
以单片机为核心,设计一个简单交通灯控制系统,设A车道与B车道交叉组成十字路口,A是主道,B是支道。设计要求如下: (1) 用发光二极管模拟交通信号灯、两个方向分别设置红、绿、黄3种通行指示灯,用按键开关模拟车辆检测信号。 (2) 正常情况下,A、B两车道轮流放行, A车道放行40秒,其中5秒用于警告,B车道放行25秒,其中5秒用于警告。有计时牌显示路口通行转换剩余时间。 (3) 在交通繁忙时,交通信号灯控制系统应有手控开关,可人为地改变信号灯的状态,以缓解交通拥挤状况。在B车道放行期间,若A车道有车而B车道无车,按下开关K1使A车道放行15秒;在A车道放行期间,若B车道有车而A车道无车,按下开关K2使B车道放行15秒。 (4) 有特种车辆如119、120通过路口时,系统可转为特种车辆放行,其他车辆禁止通行的状态,15s后系统恢复正常管理。 (5) 两个方向放行的时间可以通过键盘设置。
2022-05-01 14:53:01 29KB 交通灯、设计
1
设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。时间可设置修改。在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。同步设置人行横道红、绿灯指示。
2022-04-15 22:35:40 669KB 数电
1