针对标准的容积卡尔曼滤波器(CKF) 设计需要精确已知噪声先验统计知识的问题, 提出一种自适应CKF 算法. 该算法在滤波过程中, 利用Sage-Husa 极大后验估值器对噪声的统计特性进行在线估计和修正, 有效地提高了CKF 的估计精度和数值稳定性. 在某些情况下, 噪声协方差估计会出现异常现象使得滤波发散, 进而提出了相应的改进方法. 仿真结果表明了自适应CKF 算法的可行性和有效性, 且明显改善了标准CKF 算法的滤波效果.

1
室内光无线信道可以看作准静态、具有低通特性的多径信道, 并且可见光通信通常是功率受限系统。为了充分利用信道频谱资源及节约能量, 提出将Chow、Hughes-Hartogs、Fischer自适应比特-功率加载算法应用于非对称限幅光正交频分复用(ACO-OFDM)系统, 介绍了自适应比特功率加载过程, 并比较了自适应ACO-OFDM和自适应直流偏置光OFDM(DCO-OFDM)的性能。结果表明, 在保证误码率性能时, 相比等比特加载方法, 自适应算法能节约15%的光功率和30%的电功率, 其中Fischer算法最节约功率, 而Hughes-Hartogs算法需要的功率最多。在信息速率相同时, 自适应ACO-OFDM比DCD-OFDM更节约功率。
2022-10-07 09:42:27 7.68MB 光通信 可见光通 自适应比 光正交频
1
包括 Blind_Adaptive_Filtering,Fast_Transversal_RLS_Algorithms ,IIR_Adaptive_Filters,Lattice-based_RLS_Algorithms
2022-10-07 08:58:31 141KB matlab
1
本文提出了一种适用于车辆自组织网络的新型自适应时分多址(TDMA)时隙分配协议(ATSA)。 ATSA根据在相反方向上行驶的车辆划分不同的时隙组。当一个节点访问网络时,它选择帧长并根据其方向和位置竞争一个插槽以与其他节点通信。基于二叉树算法,将帧长度动态加倍或缩短,并调整两个时隙集的比率以降低传输冲突的可能性。理论分析证明,ATSA协议可以将时间延迟至少比用于车辆自组织网络(VeMAC)的媒体访问控制协议减少20%,并且比自组织网络减少30%。仿真实验表明,ATSA具有良好的可扩展性,与VeMAC相比,冲突将减少约50%,与几种现有协议相比,信道利用率得到显着提高。
2022-10-06 15:41:39 905KB media access control (MAC)
1
电影站源码,视频站源码,影视站源码,苹果CMS maccms10 模板超简洁适合优化 访问快,自适应模板,自动采集入库,seo优化,自动缓存,宝塔搭建,php源码影视站,视频站自动采集源码php前后端。方便快捷。.带自动采集-自动入库 增加了多语言的支持,方便更多全球用户使用,系统内所有显示和提示的信息完全由语言包控制。 3.定时任务目前内置了 采集资源、生成静态 2个执行操作,网址推送 4.可以直接在苹果CMS后台联盟采集中加入-并提供给他人采集。 5.站外入库接口一般是给第三方软件。
2022-10-06 02:04:07 5.99MB 影视站源码 苹果cms 电影站源码 采集
1
适应模板,黑色电影影视模板 php带前后端 快速搭建 ,简单友好seo_苹果 CMS, 1.自动采集自动入库 2.版本增加了多语言的支持,方便更多用户使用,系统内所有显示和提示的信息完全由语言包控制。 3.定时任务目前内置了 采集资源、生成静态 2个执行操作,网址推送 4.可以直接在后台联盟采集中加入-并提供给他人采集。 5.站外入库接口一般是给第三方软件。 ========模板结构======= │─template/1/ 模板1 │ ├─info.ini 模板信息文件 │ ├─ads 广告文件目录 │ ├─js js文件 │ ├─css css文件 │ ├─images 图片文件 │ └─html 模板文件目录 │ └─art 文章模块模板目录 │ └─comment 评论模块模板目录 │ └─gbook 留言本模块模板目录 ----
2022-10-06 02:00:53 6.33MB 自动采集 影视源码 电影站源码 苹果cms
1
神经网络一种RBF神经网络的自适应学习算法
2022-10-05 21:26:12 940KB 神经网络
1
为了减小分数阶数变阶数最小均方算法(fractionaltap-lengthleastmeansquare,FTLMS)稳态滤波器阶数误差,提出了一种变误差宽度的变阶数LMS算法,并对该算法进行稳态理论分析,给出参数选择的依据。为了验证该算法性能,设置了3种仿真环境:信噪比(signaltonoiseratio,SNR)为20dB、0dB及冲击响应权系数呈稀疏分布。仿真结果表明,与FTLMS算法相比,在SNR为20dB及冲击响应权系数呈稀疏分布仿真条件下,当收敛速度相同时,滤波器阶数稳态误差减小为10%,
2022-10-04 00:51:12 319KB 工程技术 论文
1
「来道题」服务端面试真题全解析 互联网大厂的资深工程师,带您开启技术成长之路~ 多年大规模在线服务实战经验,近百场校招、社招面试经历,告诉您最真实的面试考点。 ------ InnoDB的特性:自适应哈希索引、插入缓冲、刷新邻接表、二次写
2022-10-03 22:03:49 107.43MB mysql innodb 后端面试
1
「来道题」服务端面试真题全解析 互联网大厂的资深工程师,带您开启技术成长之路~ 多年大规模在线服务实战经验,近百场校招、社招面试经历,告诉您最真实的面试考点。 ------ InnoDB的特性:自适应哈希索引、插入缓冲、刷新邻接页、二次写
2022-10-03 13:03:07 107.43MB mysql innodb 后端 面试
1