在Nios ii 上控制VGA ip核,该代码使用的屏幕是开发板自带的tpad
2019-12-21 20:49:00 30.45MB FPGA Qsis
1
里面有2个文档,有VGA不同分辨率的时序标准,可以参考使用
2019-12-21 20:48:38 1.22MB VGA HDMI
1
EDA课程设计-VGA
2019-12-21 20:46:23 11.82MB EDA课程设计
1
修改自OpenCores的黑白棋游戏代码。采用VGA输出显示,PS2键盘(W、A、S、D、回车)输入控制,实现AI,LED灯指示是否游戏结束,VGA显示频率25MHz,系统频率50MHz。使用的FPGA芯片为altera公司的de0板子,整个工程文件都已经在里面了,包括引脚都已经分配好了。棋子改成了圆形,VGA显示采用了3:3:2的256色。
2019-12-21 20:40:56 22.02MB FPG VGA
1
VGA接口显示器显示汉字设计,能够显示汉字,含有程序已做成报告!
2019-12-21 20:40:37 108KB VGA
1
OV7670摄像头模块负责图像的实时釆集工作。OV7670内部相关寄存器的配置信息被写入到控制模块,在程序运行时通过I²C总线与OV7670的I²C接口进行信息交互,将OV7670初始化为VGA分辨率、输出RGB565格式数据的模式。釆集到的图像信息经过写FIFO后,在釆用Verilog HDL设计的SDRAM控制器的控制下,被送至SDRAM进行存储。图像数据经过图像处理模块(Sobel)被发送到VGA控制器,经VGA接口电路,显示在VGA显器上。
2019-12-21 20:39:48 8.17MB FPGA Sobel Verilog
1
程序具有精美的界面,流畅的动感。小球(学名小方块)碰到墙壁和障碍时会反弹!小球速度可调,程序可暂停。 其实最开始设计了很多功能,结果上机调试时各种问题,然后回到最最基础的,让小方块动起来,这并不容易,对于初学者。 EG01板子上完整工程,VHDL语言编写,代码比较简陋,WHUT推荐选这个FPGA课程,很坑(赚)
2019-12-21 20:39:05 1.59MB FPGA VGA EG01 VHDL
1
STM32模拟VGA显示的小程序,亲测能用,代码是从国外一个技术网站下载,根据自己的需要,移植并修改。
2019-12-21 20:34:04 3.31MB STM32 VGA
1
常用接口的FPGA程序,用ISE打开工程文件即可,包括CAN,ETH,IIC,IWBBUSCHANGE,UART,USB,VGA.
2019-12-21 20:33:09 1.85MB can eth iic uart
1
利用FPGA实现图片的ROM存储及VGA显示,图片大小为64*64,利用matlab生成.mif文件,利用ROM读取,实现VGA显示
2019-12-21 20:30:19 1.12MB verilog 存储显示
1