基于LabVIEW的秒表设计 , 实现功能:开始 停止 复位 。
2019-12-21 21:59:45 286KB LabVIEW秒表
1
1、能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。 2、能利用实验系统上的按键实现“校时”“校分”功能: ⑴按下“SA”键时,计时器迅速递增,并按24小时循环,计满23小时后回“00”; ⑵按下“SB”键时,计分器迅速递增,并按59分钟循环,计满59分钟后回“00”,但不向“时”进位; ⑶按下“SC”键时,秒清零; ⑷要求按下“SA”、“SB”或“SC”时均不产生数字跳变(SA”、“SB”、“SC”按键是有抖动的,必须对其消除抖动处理)。 3、能利用扬声器做整点报时: ⑴当计时到达59分50秒时开始报时,在59分50秒、52秒、54秒、56秒、58秒鸣叫,鸣叫声频率可定为500Hz; ⑵到达59分60秒时为最后一声整点报时,整点报时频率可定为1KHz。
2019-12-21 21:59:41 259KB VHDL 简易数字秒表的设计
1
经典VHDL 的实例程序,非常经典 共44个打包分享个大家!要下载的尽快
2019-12-21 21:59:10 43KB vhdl 秒表 VHDL
1
这是一个数字秒表的verilog代码可实现开始,暂停,同时计2组时间,清零的功能
2019-12-21 21:57:38 958KB 秒表,计时
1
VC++6.0开发环境 MFC框架制作 包括数字时钟、表盘时钟、秒表程序
2019-12-21 21:53:24 3.65MB VC++ MFC 数字时钟 图形时钟
1
设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 设置启/停开关。当按下启/停开关后,将启动秒表输出,当再按一下启/停开关时,将终止秒表的输出。 采用结构化设计风格描述,即先设计一个10分频电路,再用此电路构建秒表电路。
2019-12-21 21:42:10 1KB Verilog 秒表
1
微机接口综合实验,利用实验箱上8259 8254 8255 实现秒表
2019-12-21 21:41:46 6KB 微机接口 秒表 8255 8259
1
电子秒表,微机原理学生课设,需要的请下载
2019-12-21 21:36:03 130KB 电子秒表
1
一个漂亮的毫秒级秒表,功能是: 1. 毫秒级精确,timer触发,系统时间计时,绝对比timer的触发累计计时精确 3. 快捷键设置,在程序没有取得焦点的时候仍然可以用快捷键使用 4. 基本功能:开始计时,记录时间点,暂停,归零,复制或删除记录的时间点 5. 所有按钮均为漂亮的图片,包括程序图标 注:这是整个工程文件,包括所有的图片,文件夹中有程序截图 我是用VS2010编的,低版本的应该也可以使用。
2019-12-21 21:31:54 2.23MB C# 秒表 毫秒级 精确
1
它具有计时功能。此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再次检测到start键按下并松开后,停止计时。通过不断检测start键,来确定秒表是否开始计时 2.在秒表计时时,七段数码管能够循环的由00…59,00…59…。 3.开始默认两个七段数码管显示秒, 在检测到select键按下并松开后,数码管切换到显示分钟,再次检测到select键按下并松开后,数码管切换到显示百分之一秒,当再次检测到select键按下并松开后,数码管切换到重新显示秒。 4.在秒表停止时,数码管依然能够正常切换显示百分之一秒,秒,分钟。 5.本实验使用FPGA板:basys 3(建project时,需要选择该芯片的型号)。
2019-12-21 21:30:02 1.3MB VHDL
1