用以破解13.0.1quartus 可以用于WIN7 32bit
2023-04-03 22:49:10 13KB 13.0 SP1 32bit
1
基于FPGA的信号发生器原理框图如图3-15a所示。硬件电路包括FPGA、按键、7 段 LED 数码管、高速D/A转换器。利用EDA工具软件QuartusII13.0 完成FPGA 内部数字系统设计,使信号发生器达到要求的功能和指标。 图 3-15a 信号发生器原理框图 依次完成以下实验内容 (1)设计固定频率锯齿波发生器,产生固定频率(f=5MHz/256≈19.5kHz)的锯齿波, 原理框图如图3-15b 所示。CLK0 为频率固定的外部时钟,用示波器观测D/A 转换器输出 的波形。 图3-15b 锯齿波发生器原理框图 (2)设计固定频率正弦波发生器,产生固定频率(f=5MHz/256≈19.5kHz)的正弦信号,正弦信号的每个周期由256 个采样点组成。正弦信号发生器的原理框图如图3-15c所 示。系统中需要增加波形数据存储器。 图3-15c 正弦波发生器原理框图 (3)设计DDS正弦波发生器,利用DDS技术实现输出正弦信号频率步进可调。通过 按键KEY0实现输出正弦信号频率从1kHz、2 kHz 、…、10kHz 变化。输出频率采用两位LED 数码管显示。
2023-03-28 22:41:21 15.73MB eda FPGA quartus DDS
1
Quartus II 独立的烧录软件,只有130多M大小,无需破解,可供生产用。 13.0.0.156版本。支持pof、sof、jic等多种文件格式。
2023-03-28 14:09:11 130.29MB Quartus 烧录软件
1
分析了FFT算法的原理,并利用DSPBuilder建立了输入为8点基- 2FFT算法的基本模型,在Simulink和QuartusⅡ中分别进行了仿真,并将仿真结果与Matlab仿真值进行了比 较,实现了8点实序列FFT算法.基于DSPBuilder的FFT算法设计简单,可以重复使用,大大提高了设计效率.
2023-03-28 13:01:00 578KB DSPBuilder QuartusⅡ Simulink
1
Quartus_II_与_ModelSim__功能仿真与后仿真扫盲.pdf
2023-03-23 13:30:24 1.16MB Quartus
1
DPSK调制程序,用时把ncoip核从新做下,quartus环境的,VHDL和ip核完成
2023-03-21 22:05:59 1.88MB DPSK quartus VHDL
1
基于FPGA的DDS信号发生器 自己做的一个DDS信号发生器,基本功能实现,下板验证完成,有输出文件,自己只需要改变管脚分配即可使用。工程简介: 1、硬件:Cyclone Ⅳ系列 EP4CE10F17C8 的FPGA芯片;AN9769的数模转化芯片;LCD12864液晶屏显示。 2、软件:基于Quartus Ⅱ,VerilogHDL硬件描述语言。主要有DDS主模块、赋值、按键控制、按键消抖、按键检测、参数选择、波形选择、LCD显示模块、顶层TOP。 3、内容有:01-工程文件、02-硬件连接、03-设计说明、04-参考资料。
2023-03-17 15:47:07 17KB FPGA QuartusⅡ verilog DDS
1
3.7嵌入式逻辑分析仪的使用 伴随着EDA工具的快速发展,一种新的调试工具Quartus II 中的SignalTap II 满足了FPGA开发中硬件调试的要求,它具有无干扰、便于升级、使用简单、价格低廉等特点。本节将介绍SignalTap II逻辑分析仪的主要特点和使用流程,并以一个实例介绍该分析仪具体的操作方法和步骤。 3.7.1 Quartus II的SignalTap II原理 SignalTapII是内嵌逻辑分析仪,是把一段执行逻辑分析功能的代码和客户的设计组合在一起编译、布局布线的。在调试时,SignalTapII通过状态采样将客户设定的节点信息存储于FPGA内嵌的Memory Block中,再通过下载电缆传回计算机。 SignalTap II嵌入逻辑分析仪集成到Quartus II设计软件中,能够捕获和显示可编程单芯片系统(SOPC)设计中实时信号的状态,这样开发者就可以在整个设计过程中以系统级的速度观察硬件和软件的交互作用。它支持多达1024个通道,采样深度高达128Kb,每个分析仪均有10级触发输入/输出,从而增加了采样的精度。SignalTap II为设计者提供了业界领先的SOPC设计的实时可视性,能够大大减少验证过程中所花费的时间。
2023-03-10 21:25:04 2.79MB vhdl
1
32位 64位均可用,下载官方安装包安装
2023-03-08 15:39:22 27KB Quartus II 12.1
1
lcd_qsys(FPGA代码,quartus软件代码,LCD液晶屏驱动代码)
1