通过简单的vhdl语言实现spi接口设计
2022-02-16 17:06:09 798B spi vhdl fpga
1
用VHDL语言实现24进制计数,到23清零,给出进位信号,然后从0开始计数。拥有清零功能。
2022-01-11 19:02:56 1.07MB VHDL fpga
1
1设计任务 显示十字路口东西南北四个方向的交通灯,有两组数字显示,有特殊状态键,复位键功能。 2设计要求 2.1显示路灯状态 能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿灯表示分别作为东西、南北两个方向的红、黄、绿灯。变化规律为:东西绿灯亮,南北红灯→东西黄灯亮,南北红灯亮→东西红灯亮,南北绿灯亮→东西红灯亮,南北黄灯亮→东西绿灯亮,南北红灯……,这样依次循环。 2.2倒计时数码管 用两组数码管作为东西、南北方向的倒计时显示,时间可以预置,如时间为红灯35s.、绿灯32s、黄灯3s。 2.3特殊功能键 使用一个按键能实现特殊状态的功能:计数器停止计数并保持在原来的状态;东西、南北、路口均显示红灯状态;特殊状态解除后能继续计数。
2022-01-08 19:04:39 4.2MB vhdl
目前 VGA技术的应用还主要基于 VGA显示卡的,而在一些既要求显示彩色高分辨率图像又不使用计算机的设备上,VGA技术的应用却很少。本文对基于 FPGA/CPLD的嵌入式 VGA显示的实现方法进行了研究。
2021-12-27 19:02:34 200KB 嵌入式 VHDL FPGA CPLD
1
本文介绍了一种以FPGA为基础的数字密码锁。采用自顶向下的数字系统设计方法,将数字密码锁系统分解为若干子系统,并且进一步细划为若干模块,然后用硬件描述语言VHDL来设计这些模块,同时进行硬件测试。测试结果表明该数字密码锁能够校验10位十进制数字密码,且可以预置密码,设有断电保护装置,解码有效指示等相应功能。
2021-12-22 12:46:01 86KB VHDL FPGA 断电保护 文章
1
此代码是将二进制数转换成BCD码,提供32位转换,可自行扩展
2021-12-13 18:49:01 14KB 二进制 BCD VHDL FPGA
1
该设计是基于VHDL的两部三层电梯联动控制系统设计,采用quartus软件编写,描述了最简单的情形,但可扩展性好,可以轻易的改写为其他类型的电梯系统。
2021-12-10 16:19:28 203KB VHDL FPGA 电梯 控制系统
1
包含多篇关于设计数字频率计的文档,以及数字频率计的相关介绍,还有数字频率计的相关VHDL代码等。
2021-12-10 12:55:52 198KB 数字 频率计 VHDL FPGA
1
这是vhdl语言编写的数字钟的实现,使用的是altera cyclone4的芯片,简单易懂
2021-12-03 17:25:28 1.78MB vhdl fpga 数字钟
1
基于FPGA,用VHDL语言编写的计时秒表程序,运行成功,可供大家参考使用。
2021-12-02 09:14:06 2.72MB VHDL FPGA 计时秒表
1