msk调制顶层模块msk_top.v module msk_top(clk_100MHz, clk_2MHz, clk_1MHz, reset,x,msk_out); input clk_100MHz; input clk_2MHz; input clk_1MHz; input reset; input x; output [32:0] msk_out; wire b_i, b_q; wire [15:0] sine, cosine; //调用亟待数据处理模块 S2p s2p( .clk(clk_2MHz), clk_div2(clk_1MHz),reset(reset), .x(x),.b_i(b_i),.b_q(b_q)); //调用I,Q路加权模块iqsin.v Iqsin iqsin( .clk(clk_100MHz),. reset(reset), .b_i(b_i),.b_q(b_q), .SINE(sine),COSINE(cosine)); //载波调制相加模块 iqmodu.v Iqmodu iqmodu( .clk(clk_100MHz), .i_i(cosine),.q_q(sine),.msk_out(msk_out)); endmodule
2021-05-06 19:20:01 1.93MB verilog msk 调制器
1
用systemview软件完成MSK的调制与解调
2021-04-27 18:20:56 2KB MSK systemview
1
matlab仿真实现MSK调制 仅供学习参考
2021-04-14 13:54:37 2KB matlab MSK 调制解调
1
完整matlab代码,可以供大家一起学习探讨
2021-04-14 13:49:43 18KB msk 调制解调 matlab
1
这是用matlab产生一个msk调制信号的原理图和代码,里面还有详细步骤,希望对大家有所帮助!
2021-04-14 13:39:06 40KB msk 框图
1
这是我下载该网站“systemview仿真例子(很多的哦)”资源后发现其中8-13有错误,重新设计的。
2021-04-14 08:28:38 52KB MSK systemview
1
architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain = cdata (0) then m<=3; else m m <= 0;
2021-04-13 16:40:01 275KB FPGA
1
实现了msk调制,用verilog程序写的,从网上找的好使的
2021-04-06 09:00:45 4KB msk调制
1
MSK的基带波形调制 完整图形 MSK的matlab程序
2021-02-27 16:06:13 660B MSK调制 基带波形
1