睿智FPGA开发板用户手册1.7版本最新
2022-03-14 19:33:47 5.27MB 开发板
1
睿智fpga入门必备的指导手册,为你解答所有入门的疑惑。
2022-03-05 21:20:34 6.03MB fpga
1
发现部分客户根本不查看本手册,在使用过程中完全自己摸索,走了很多弯路!手册里对新手常见问题及注意事项都有说明,您看一看,节省的是您自己的时间。在此强烈建议您收到板子,先认真阅读本文档,优先阅读第一章、第四章、第九章内容!
2022-02-23 09:25:19 5.66MB FPGA
1
4款ALTERA CYCLONE FPGA+MAX2 CPLD开发板PDF原理图合集,可以做为你的学习设计参考。
5款ALTERA FPGA开发板原理图合集
2022-02-12 14:40:51 578KB ALTERA FPGA
1
XILINX Spartan7_XC7S15 FPGA开发板硬件设计原理图,做为你的学习设计参考。
2022-01-30 09:11:41 107KB fpga开发 stm32 arm 嵌入式硬件
XILINX XC6SLX9-3TQG144C FPGA开发板PDF原理图,可以做为你的学习设计参考。
2022-01-30 09:11:38 2.11MB fpga开发 XC6SLX9
Spartan7_XC7S15 FPGA开发板软硬件资料包括PDF原理图+FPGA逻辑例程源码工程文件,仅供学习设计参考。
iCESugariCESugar介绍 芯片规格 硬件说明iCE40UP5K iCELink 资源下载 开发环境搭建 视频教程 FPGA教程 参考 iCESugar 介绍iCESugar 是MuseLab基于Lattice iCE40UP5k设计的开源FPGA开发板,开发板小巧精致,资源丰富,板载RGB LED,Switch,TYPE-C-USB, Micro-USB,大部分IO以标准PMOD接口引出,可与标准PMOD外设进行对接,方便日常的开发使用。 板载的调试器iCELink经过精心设计,支持拖拽烧录,用户只需将综合出的FPGA bitstream文件拖拽至虚拟U盘中,即可实现烧录。iCELink亦支持虚拟串口以和FPGA进行通信,同时引出JTAG接口,方便用户对FPGA上实现的SoC进行调试。 Lattice的iCE40系列芯片在国外的开源创客社区中拥有大量拥趸,其所有的开发软件环境亦均为开源。一般来说,假若您使用Xilinx或者Altera系列的开发板,您需要安装复杂臃肿的IDE开发环境(而且一般为盗版,使用存在一定法律风险), 在未开始开发前,首先还先需要学会如何操作其复杂的IDE。 iCE40则使用完全开源的工具链进行开发,包括FPGA综合(yosys),布线(arachne-pnr & nextpnr), 打包烧录(icestorm),编译(gcc),只需在Linux下输入数条命令,即可将整套工具链轻松安装,随后即可开始您的FPGA之旅,而且这一切都是开源的,您可仔细研究整个过程中任何一个细节的实现,非常适合个人研究学习,对于有丰富经验的开发者,亦可用来作为快速的逻辑验证平台。典型的基于iCE40系列的开源开发板有iCEBreaker、UPduino、BlackIce、iCEstick、TinyFPGA 等,社区中拥有丰富的demo可用于验证测试,或者作为自己开发学习的参考。 芯片规格iCE40UP5K-SG485280 Logic Cells (4-LUT + Carry + FF) 128 KBit Dual-Port Block RAM 1 MBit (128 KB) Single-Port RAM PLL, Two SPI and two I2C hard IPs Two internal oscillators (10 kHz and 48 MHz) 8 DSPs (16x16 multiply + 32 bit accumulate) 3x 24mA drive and 3x hard PWM IP 硬件规格iCE40UP5kSPI Flash使用W25Q64(8MB) 板载拨码开关和RGB LED可用于测试 所有IO以标准PMOD接口引出,可用于开发调试 iCELinkiCESugar实现了一个板载的调试器iCELink,您可仅用一根USB线便可实现FPGA的烧录和调试,具体功能说明如下: 拖拽烧录,将综合布线打包生成的bin文件(一般称之为配置或者逻辑)拖拽到iCELink的虚拟U盘中即可实现烧录 虚拟串口,可用于和FPGA直接数据的发送接收 支持JTAG, 可对FPGA上实现的SoC进行调试 通过MCO输出12Mhz时钟,作为FPGA的外部时钟 虚拟机镜像链接:https://pan.baidu.com/s/1qVSdwM7DnFbaS0xdqsPNrA提取码:6gn3 user: ubuntu passwd: ubuntu 所有环境包括综合(yosys),布线(nextpnr),打包(icesorm),编译器(gcc) 已经预制好,启动即可开始使用。 开发环境搭建推荐使用虚拟机镜像进行开发测试,简单方便。 FPGA工具链安装请参考icestorm gcc工具链安装请参考riscv-gnu-toolchain icesprog是为iCESugar开发的命令行烧写工具,仓库中已经提供,依赖libusb和hidapi,若自行搭建环境需要安装依赖的库 $sudo apt-get install libhidapi-dev $sudo apt-get install libusb-1.0-0-dev 视频教程开源FPGA开发板-硬件介绍 开源FPGA开发板-开发环境搭建 开源FPGA开发板-RISC-V SoC烧录演示 FPGA教程强烈推荐学习此教程,open-fpga-verilog-tutorialsrc/basic/open-fpga-verilog-tutorial目录中有对应的例程 参考icestorm toolchainhttp://www.clifford.at/icestorm/ examplehttps://github.com/damdoy/ice40_ultraplus_ex
2022-01-17 10:17:40 39.79MB 开源 iCEblink40 iCE40 FPGA
1
基于vhdl实现基础交通灯控制电路,在fpga开发板上显示
2021-12-29 09:04:50 663KB verilog fpga quartus
1