本系统采用光电传感器,通过一级放大二级放大滤波之后利用STM32内部AD转换器,进行AD转换,计算心率,同时显示在OLCD屏幕上
2019-12-21 20:56:26 6.98MB 代码
1
天津大学自动化学院大三上学期,课程设计实验--数字脉搏计,这是除去了个人信息的实验报告版本,希望能对你有帮助
2019-12-21 20:54:11 2.34MB 数字脉搏计
1
课设脉搏计设计 实现在15s内测量1min的脉搏数,并且显示其数字。
2019-12-21 20:12:55 304KB 脉搏计 课设
1
脉搏传感器采样脉搏信号,采用STC89C51单片机作为控制器,脉搏传感器输出方波传入单片机,触发单片机进去外部中断函数,每接收一个脉冲波形,显示屏就计数一次。如果脉搏次数超过设定值是,用蜂鸣器报警提示。
2019-12-21 20:08:47 128KB 心率计 脉搏计数 单片机 LCD显示
1