DAC8563具有 2.5V、4ppm/°C 基准的16 位、双路、低功耗、超低短时脉冲波形干扰、缓冲电压输出 DAC。 包含硬件电路设计资料,芯片资料,软件驱动等。
2019-12-21 20:01:13 13.3MB DAC 硬件电路设计
1
stm32 DAC 产生三角波,可以直接应用,三角波频率和幅值可调
2019-12-21 19:57:41 8KB 三角波
1
该代码是msp430f149单片通过IIC接口与pcf8591通信,实现ADC和DAC,使用时请注意pcf8591的器件地址。
2019-12-21 19:37:33 33KB msp430f149 pcf8591 ADC DAC
1
本程序由本人亲自编译,并用signaltap测试通过,时序严谨,全部参数化,方便移植,对于初学者和工程师都具有较强的参考价值。
2019-12-21 19:34:42 18.83MB FPGA verilog DAC8532 双通道DAC
1
采用CS8416作为数据接收,SRC4192采样升频,PCM1792解码。控制部分由单片机完成,有原理图有代码。方便喜欢DIY的朋友试试。我自己还没有试过,看过代码应该是好的。有兴趣的朋友不妨试试。
2019-12-21 19:33:50 1.5MB DAC解码器
1
DAC 0832 驱动 FPGA VERILOG代码 希望有用
2019-12-21 19:21:53 1KB DAC 0832 驱动 FPGA
1
STM32F407VET.基于DDS 用内置DAC实现多种波形(正弦 方波 三角波 锯齿波)输出,支持频率可调 (范围1HZ-5MHZ 在5MHz下精度大约100khz左右) 有自定义波形功能
2019-12-21 19:21:34 16.23MB DDS STM32 MHZ 频率可调
1
程序是基于STM32F407的任意波形发生器,可以通过STM32自带的DAC实现生成任意需要的波形,目前自己测试过正弦波和三角波 没有问题, 波形的时序是通过定时器出发来控制的 ,先通过函数生成对应的波形点图,然后通过定时器定时触发 来控制输出波形的频率,以此来实现任意波形的发生! 程序用到了 DMA DAC Timer 程序运行时CPU时间占用很少 适合嵌入大工程里面使用!
2019-12-21 18:56:47 553KB DMA +ADC Stm32F407ZGT 生成任意波形
1
题目1:自主访问控制实验; 要求:设计必需的界面环境, (1) 对主体、客体、权限进行定义和配置 (2) 对主体进行自主授权 (3) 对主体的访问权限进行控制实验 (4) 对主体进行传递授权的操作,通过实验观察系统的执行情况 (5) 对访问成功和不成功的两种情形均给出结果 要求:设计必需的界面环境, (1) 对主体、客体、权限进行定义和配置 (2) 对角色及角色的层次关系进行定义 (3) 对角色的权限进行定义(有继承关系的就不用重新定义) (4) 给出用户-角色多对多关系的配置 (5) 对用户的角色信息进行修改,通过访问控制实验,体现不同层次的角色访问权限的差别 (6) 对上述实验情况下访问成功和不成功的两种情形均给出结果 写的不是很好,仅供参考。
2019-12-21 18:56:21 2.67MB 自主访问控制实验——DAC
1
自己写的STM32 MCP4725 10位轨对轨DAC IIC协议代码,亲测通过。
2019-12-21 18:56:04 2KB DAC MCP4725 STM32 code
1