在quartus II 9.0上用原理图设计了一个简易数字钟,这是源程序
2020-01-03 11:36:41 389KB 数字钟
1
整点报时可调式数字钟的设计与制作 基于Multisim软件的仿真原理图加文档全套资料 课程设计的很好的参考资料
2020-01-03 11:33:57 6.06MB 数字钟 整点报时 数电设计
1
资源包含数字时钟源程序代码,经过编译后可直接烧录到板子中运行,还包括Multisim仿真实验的工程资源
2020-01-03 11:32:46 78KB 数字时钟 C语言源程序 Multisim
1
大学数电实验报告,使用quartus ii软件编写verilog代码实现数字钟,有计时,校准,复位,闹钟,报正点数,时制切换功能
2020-01-03 11:28:07 396KB verilog 数字钟 代码 报告
1
基于Proteus强大的仿真功能和丰富的元件仿真模型,提出了新的用于电子技术的仿真方 法. 使用常用的芯片555定时器和74LS90计数器设计了电路原理图,对电路的每个单元进行了 仿真实验,可以直观地观测出电路的仿真效果. 这种基于Proteus软件的仿真方法在电子技术的 教学演示及实际设计等方面具有很大的辅助作用.
2020-01-03 11:27:50 958KB 数字钟
1
摘要 实验利借助于Quartus II 软件设计了一个多功能数字钟,实现了校时,校分,清零,保持和整点报时等多种基本功能,此外还实现了闹钟,星期,音乐闹铃等附加功能。本文首先利用Quartus II进行原理图设计并仿真调试,最后在实验板上验证了设计的正确性。 关键字:数字钟 闹钟 仿真 准点报时
2020-01-03 11:27:35 1.13MB 数字钟 报告 Quartus II
1
数字钟的电路图用Multisim10做的。希望电子爱好者有用
2020-01-03 11:25:46 338KB 数字钟的电路图
1
单片机课程作业,屏幕显示,SPI通信,准确走时,使用AD采样
2020-01-03 11:24:54 120KB Single-Chip
1
数字钟的仿真电路,可以看看,学习学习,还有仿真图片
2020-01-03 11:21:47 204KB 数字钟 ms10
1
使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24小时制时间显示。 2) 可以随时进行时间校对。 3) 整点报时。 4) 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 校时时相应位闪烁。 2) 能够设置多个起闹点。
2020-01-03 11:21:09 333KB 多功能数字钟的设计与实现
1