fpga实现数字键盘输入,用vhdl语言实现4x4数字键盘输入
2021-11-03 17:11:45 14.05MB fpg VHDL
1
pynq的h264 demo 开源的复旦h264 ip核 zynq fpga vivado工程直接 pynq 可以运行
2021-10-13 16:00:38 59.92MB H26 FPG ZYN
1
基于system generator的svpwm。可以生成FPGA的工程文件下载到板子。
2021-09-13 20:04:06 36KB system generat FPG
1
FPGA史上最牛原理图(30个)!
2021-08-25 21:44:31 4.89MB FPGA FGPA FPG
1
松下编程软件FPWin GR v2.72 适用FP0,FPG,FPE,FPX(5-2)
2021-06-26 18:01:26 19.07MB 松下编程软件FPWin GR v2.72 适用FP0 FPG FPE FPX
1
由于仿真软件自带的verilog编辑器确实难用,写起来效率不高,因而寻找到了以下教程, 解决方案引用Ultra 编辑器外部verilog编辑器 解决编辑麻烦的问题。
2021-06-25 19:07:36 104B FPG VERILO
1
fpga实现冒泡排序,通过quartus实现串口输出冒泡排序;
2021-05-23 19:49:38 1KB FPG
1
FPGA电子钟,verilog写分频模块,其他部分均用block完成
2021-04-29 19:49:37 14.51MB FPG
1
基于Altera公司的FPGA的ads1118模数转换芯片的驱动程序,包括verilog代码和nios核,C语言程序
2021-04-25 17:29:52 3.43MB FPG verilog
1
I2C的学习报告,描述了I2C的原理和在risc_v中的位置。
2021-04-12 18:21:12 1.03MB I2 E203 RISCV FPG
1