基于FPGA的TFT-LCD控制器的设计和实现.pdf
2021-07-13 19:04:44 195KB FPGA 硬件技术 硬件开发 参考文献
Linux下I.MX6U开发板的LCD应用程序。由于正点原子提供的资料里的LCD驱动例程没有完善的LCD控制函数,需要自己编写LCD控制函数。比如图片显示函数,汉字显示函数等。能够在LCD上显示图片、16*16的汉字、24*24的汉字和32*32的汉字。
2021-06-16 13:14:23 2.17MB I.MX6U LCD控制函数 图片 汉字
1
ILI9320 LCD控制器资料(官方完整版)包含了所有的寄存器,是开发ILI9320最好的工具书
2021-06-01 09:27:34 1.22MB ILI9320 资料 图文 详细
1
ST7920A中文字型点阵LCD控制/驱动器 主要特色: 电压:2.7V~5.5V 33-commonX64-segment(2行显示)液晶显示驱动器 2M-位元 中文字型ROM(CGROM总共提供8192个中文字型(16X16点阵) 低功率省电设计 VLCD(V0~VSS):最大7V ST7921 segment 驱动器 配合ST7920使用,ST7921为Segment驱动器,为ST7920提供扩充显示范围的任务。
2021-05-28 15:13:53 1.62MB ST7920
1
资料内包含红外测温模块TN901的中文资料,与单片机AT89S51的电路原理图,同时连接显示器LCD。
2021-05-05 14:25:58 2.12MB TNm红外温度计模块 S51 LCD 控制程序
1
RA8876 LCD控制器 Demo板
2021-04-20 12:02:43 777KB ra8875 lcd驱动 电路方案
1
LCD控制器ST7920 PDF 详细说明书
2021-04-18 20:55:23 428KB LCD控制器 ST7920
1
FPGA实现LVDS信号输出 LCD 控制器 verilog。 FPGA实现LVDS信号输出,可输出所需要的RGB等画面,LVDS是单通道输出 verilog 控制24寸TFT FPGA LVDS LCD verilog TFT
2019-12-21 22:04:51 2.81MB FPGA LVDS LCD verilo
1
FPGA实现LVDS信号输出,可输出所需要的RGB等画面,LVDS是单通道输出 verilog 控制24寸TFT
2019-12-21 20:28:24 2.73MB FPGA LVDS LCD verilog
1
基于FPGA的LCD控制器设计源代码,可以直接用代码也很值得研究一下,学习LCD、VGA显示控制器的资料
2019-12-21 18:57:20 946KB FPGA LCD VGA
1