基于FPGA用VHDL语言编写的交通灯程序,已验证
2021-11-28 20:15:23 8.7MB EDA FPGA VHDL 交通灯
1
基于交通灯VHDL设计,很实用。对于十字路口交通灯的设计。有红黄绿三种灯,不同的工作状态
2021-11-25 10:52:49 458KB 交通灯 EDA FPGA
1
本程序设计的是交通灯的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,quartusII作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,实现设计目标。
2021-11-20 17:19:04 300KB 数字电路课程设计 交通灯 EDA FPGA
1
之前从数电过来一直学习FPGA没关注过太多,见别人搞GAL才发现这个才是最基本的。拿来共享了 不是原创的哦
2021-11-13 11:53:27 6.16MB EDA FPGA GAL
1
红绿灯循环显示,pass强制转换,数码管显示时间倒计时,
2021-11-10 17:38:42 1.14MB EDA FPGA ISE
1
浙江大学韩雁教授的课件
2021-09-29 11:00:49 46.1MB EDA FPGA
1
基于FPGA的多功能信号发生器.课程设计 毕业论文 EDA FPGA
2021-08-28 10:53:22 77KB 毕业论文 课程设计 EDA FPGA
1
eda主要为fpga方向的相关实验以及实验结果
2021-07-11 20:03:52 118.75MB eda fpga 实验报告
1
eda主要为fpga方向的相关实验以及实验结果
2021-07-11 20:03:52 118.75MB eda fpga 实验报告
1
已做好的正弦信号发送器,下载解压,就可以交给老师了。 介绍:用Quartus II做的一个简易的正弦波发生器。
2021-06-27 16:55:50 2.07MB EDA FPGA Quartus
1