本程序的功能是实现串口通信,采用232传输协议,编码方式为8B/10B转换,即一位起始位,8位数据位,一位停止位,在actel Fusion系列开发板上得到验证,具有很强的通用性。本程序的编程语言为Verilog. [Giga8b10B v10.rar] - 可编程器件厂商Altera出品的8b10B编码器,用在现在通用的PCI-Express接口中,包含完全解密的源程序。
2021-12-27 10:45:42 71KB 8b—10b
1
Xilinx Aurora 8b/10b IP核的详解,对IP核的配置和如何仿真有非常详细的说明,除了对IP核官方文档的内容进行了解析以外,对GTX引脚的选择等比较容易混淆和不好理解的地方进行了说明。对IP核的仿真以及如何修改源码和约束文件以用于实际工程进行了简要说明
2021-12-24 09:01:54 8.74MB Xilinx FPGA 高速串口 aurora8B/10B
1
牛津译林版8b第一次月考试题(江苏版)2016.3.pdf
2021-11-09 17:09:52 396KB
Aurora 发送代码,这个模块核在共享逻辑 ,只需要输入参考时钟 复位等信号即可
2021-10-08 16:27:57 4KB Aurora
1
为提高8B/10B编解码的工作速度和简化逻辑方法,提出一种基于FPGA的8B/10B编解码系统设计方案。与现有的8B/10B编解码方案相比,该方案是一种利用FPGA实现8B/10B编解码的模块方法,接收模块在收到外部发送的并行数据时,通过直接查找映射的方法转换成利于传输的串行信号。串行信号经串并行转换模块,将数据经10B/8B解码模块解码还原成原始数据。为了更好实现数据的传输,系统加入了极性偏差RD控制。结果表明,该8B/10B 编解码系统设计方案传输数据稳定,满足设计要求。
2021-09-13 11:16:51 589KB 串行数据传输 8B /10B编解码
1
在高速的串行数据传输中,传送的数据被编码成自同步的数据流,就是将数据和时钟组 合成单一的信号进行传送,使得接收方能容易准确地将数据和时钟分离,而且要达到令人满 意的误码率,其关键技术在于串行传输中数据的编码方法。8B10B 作为互连接口的一种编码 技术,设计简单、性能出众,因此成为应用最广泛的技术。然而,它的系统开销高达25%, 问题突出。为了解决这个问题,设计者们一直在探寻改进的方法。本文就将介绍8B10B 码 的编码原理及实现方法,并介绍了一些低开销的编码技术,讨论它们的优势与存在的问题。
2021-09-13 11:15:29 1.56MB 8B/10B 8B10B 编码原理
1
Xilinx 提供的高速多状态编码8b_10b编码器.7z
2021-02-03 13:30:10 96KB Xilinx提供的高速多状态编
GPS 格式转换工具
2019-12-21 20:37:04 388KB GPS
1